KR20070089955A - 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버부품 제조 방법 - Google Patents

층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버부품 제조 방법 Download PDF

Info

Publication number
KR20070089955A
KR20070089955A KR1020077014306A KR20077014306A KR20070089955A KR 20070089955 A KR20070089955 A KR 20070089955A KR 1020077014306 A KR1020077014306 A KR 1020077014306A KR 20077014306 A KR20077014306 A KR 20077014306A KR 20070089955 A KR20070089955 A KR 20070089955A
Authority
KR
South Korea
Prior art keywords
coating
coating layer
gas
substrate processing
nozzle
Prior art date
Application number
KR1020077014306A
Other languages
English (en)
Other versions
KR101274057B1 (ko
Inventor
이징 린
다이지앙 주
클리포드 스토우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070089955A publication Critical patent/KR20070089955A/ko
Application granted granted Critical
Publication of KR101274057B1 publication Critical patent/KR101274057B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/02Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material
    • C23C28/021Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material including at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/44Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by a measurable physical property of the alternating layer or system, e.g. thickness, density, hardness
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/131Wire arc spraying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12743Next to refractory [Group IVB, VB, or VIB] metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • Y10T428/12757Fe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249981Plural void-containing components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 프로세싱 챔버 부품이 공정 챔버 내의 활성화된 가스에 노출될 수 있다. 부품은 하부 구조물과 제 1 및 제 2 층을 가진다. 제 1 코팅 층은 하부 구조물 위에 형성되며, 약 25 마이크로미터 미만의 평균 표면 조도를 가진다. 제 2 코팅 층은 제 1 코팅 층 위에 형성되며, 약 50 마이크로미터 이상의 평균 표면 조도를 가진다. 공정 잔여물은 프로세싱되는 기판의 오염을 감소시키기 위해서 제 2 코팅 층의 표면에 부착될 수 있다.

Description

층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버 부품 제조 방법 {PROCESS CHAMBER COMPONENT WITH LAYERED COATING AND METHOD}
본 발명은 기판 프로세싱 챔버용 부품에 관한 것이다.
반도체 웨이퍼 및 디스플레이와 같은 기판의 프로세싱에서, 기판은 공정 챔버 내에 위치되며 기판 상에 재료를 부착시키거나 식각시키기 위해서 활성화된 가스에 노출된다. 이러한 프로세싱 중에, 공정 잔여물이 발생하여 챔버 내의 내부 표면 상에 부착될 수 있다. 예를 들어, 스퍼터 부착 공정에서, 기판 상의 부착을 위해서 타겟으로부터 스퍼터링된 재료가 부착 링(deposition rings), 쉐도우 링(shadow rings), 벽 라이너(wall liners), 및 포커스 링(focus rings)과 같은, 챔버 내의 다른 부품 표면 상에 부착되기도 한다. 후속 공정 주기에서, 부착된 공정 잔여물이 챔버 표면으로부터 "박리(flake-off)"될 수 있으며 기판 상에 떨어져 기판을 오염시킬 수 있다.
공정 잔여물에 의한 기판의 오염을 감소시키기 위해서, 챔버 내의 부품의 표면이 텍스춰 처리(textured)될 수 있다. 공정 잔여물은 노출된 텍스춰 처리된 표면에 더 잘 부착되어, 공정 잔여물이 떨어지는 것을 방지하여 챔버 내의 기판의 오염을 방지시킨다. 텍스춰 처리된 부품 표면은 본 원에 전체가 참조되며, 어플라이 드 머티어리얼즈에 양도된, 예를 들어, 2004년 8월 17일 허여된 신-녕 린(Shyh-Nung Lin) 등의 미국 특허 제 6,777,045 호, 및 2004년 4월 27일 출원된 린(Lin) 등의 미국 출원 제 10/833,975 호에 기재된 바와 같이, 부품의 거친 표면을 코팅함으로써 형성될 수 있다. 보다 높은 표면 조도를 가지는 코팅은 기판 프로세싱 중에 공정 잔여물을 더 잘 축적하고 유지시킬 수 있어서 챔버 내에서 프로세싱되는 기판의 오염을 감소시킨다.
그러나, 코팅 상에 제공되는 표면 조도의 범위가 하부 부품 구조물에 대한 코팅의 결합 특성에 의해 제한될 수 있다. 예를 들어, 현 공정에 의해 제기된 딜레마는 증가된 표면 조도 및 이에 따라 개선된 공정 잔여물의 부착성을 가지는 코팅이 통상적으로, 하부 구조물에 대해 덜 강하게 결합된다는 점이다. 이는 특히, 예를 들어, 세라믹 또는 스테인레스 강 부품상의 알루미늄 코팅과 같은, 서로 다른 조성을 가지는 부품 상의 코팅에 있어서 사실일 수 있다. 보다 덜 강하게 부착된 코팅을 갖는 기판의 프로세싱은 하부 구조물로부터의 코팅의 분리, 균열, 박리를 야기할 수 있다. 챔버 내의 플라즈마는 코팅의 손상된 영역을 침투하여 하부 구조물의 노출된 표면을 부식시킬 수 있으며, 결국 부품의 고장(failure)을 야기한다. 따라서, 코팅된 부품은 통상적으로, 충분한 결합 및 양호한 잔여물 부착 특징 모두를 제공하지 못한다.
따라서, 실질적으로 부품으로부터 코팅의 분리(de-lamination) 없이 부품의 표면에 공정 잔여물의 개선된 부착성을 제공하는 방법 및 이러한 방법으로 코팅된 부품을 가지는 것이 바람직하다. 공정 잔여물의 부착성을 개선하기 위해서 증가된 표면 조도를 가지는 매우 양호하게 결합되는 코팅을 제공하는 방법 및 이러한 방법으로 코팅된 부품을 가지는 것이 더 바람직하다.
일 예에서, 공정 챔버 내의 활성화된 가스에 노출될 수 있는 기판 프로세싱 챔버 부품이 하부 구조물 및 제 1 및 제 2 코팅 층을 가진다. 제 1 코팅 층은 하부 구조물 위에 형성되며, 약 25 마이크로미터 미만의 평균 표면 조도를 갖는 제 1 표면을 가진다. 제 2 코팅 층은 제 1 코팅 층 위에 형성되며, 약 50 마이크로미터 이상의 평균 표면 조도를 갖는 제 2 표면을 가진다. 공정 잔여물이 제 2 코팅 층의 표면에 부착될 수 있어서 프로세싱된 기판의 오염을 감소시킨다.
다른 예에서, 기판 프로세싱 챔버 부품은 스테인레스 강, 알루미늄 및 티타늄 중 하나 이상의 하부 구조물을 가진다. 부품은 하부 구조물 위에 알루미늄의 제 1 스프레잉된 코팅 층(sprayed coating layer)을 가지며, 제 1 스프레잉된 코팅 층은 (ⅰ)약 10 % 미만의 다공성, 및 (ⅱ) 약 25 마이크로미터 미만의 평균 표면 조도를 갖는 제 1 표면을 가진다. 부품은 제 1 스프레잉된 코팅 층 위에 알루미늄의 제 2 스프레잉된 코팅 층도 가지며, 제 2 스프레잉된된 코팅 층은 (ⅰ) 약 12 % 이상의 다공성, 및 (ⅱ) 약 50 마이크로미터 이상의 평균 표면 조도를 갖는 제 2 표면을 가진다. 공정 잔여물은 제 2 표면에 부착되어 프로세싱된 기판의 오염을 감소시킨다.
일 예에서, 기판 프로세싱 챔버 부품을 제조하는 방법은 하부 구조물을 제공하는 단계 및 제 1 코팅 층을 하구 구조물 상에 스프레잉시키는 단계를 포함한다. 제 1의 스프레잉 매개변수들은 평균 표면 조도가 약 25 마이크로미터 미만인 제 1 표면을 제 1 코팅 층 상에 형성하도록 유지된다. 평균 표면 조도가 약 50 마이크로미터 이상인 제 2 표면을 제 2 코팅 층 상에 형성하도록 제 2의 스프레잉 매개변수를 유지하면서 제 2 코팅 층이 제 1 코팅 층 위에 스프레잉된다.
다른 예에서, 구조물 상에 코팅 층을 형성할 수 있는 이중 와이어 아크 스프레이어(twin wire arc sprayer)가 제공된다. 스프레이어는 전기 아크를 제 1 및 제 2 전극 사이에서 발생시키도록 바이어싱될 수 있는 제 1 및 제 2 전극을 가지며, 전극들 중 하나 이상은 소모 전극을 가진다. 스프레이어는 또한, 전극을 지나 가압 가스를 지향시키기 위한 가압 가스의 공급원, 및 노즐을 가지며, 상기 노즐을 통해서 가압 가스가 유동된다. 노즐은 가압 가스를 수용하는 도관, 및 원뿔형 섹션을 가지며, 상기 원뿔형 섹션은 도관에 부착되는 입구 및 가압 가스를 방출하는 출구를 가진다. 원뿔형 섹션은 입구에서 출구로 외측 연장하는 경사진 원뿔형 측벽을 가진다. 입구는 제 1 직경을 가지고, 출구는 제 2 직경을 가지며, 제 2 직경은 제 1 직경 크기의 약 1.5배 이상이며, 노즐을 통해 유동하는 가압 가스의 압력이 코팅의 미리 결정된 평균 표면 조도를 제공하도록 선택될 수 있다. 소모 전극은 용융 재료를 형성하기 위해서 전기 아크에 의해 일부분 이상 용해되어, 용융 재료가 노즐을 통해 가압 가스에 의해 구조물 상으로 추진되어 코팅을 형성한다. 노즐은 코팅의 미리결정된 평균 표면 조도를 제공하도록 가압 가스의 압력이 선택될 수 있게 한다.
본 발명의 특징, 양상 및 이점이 본 발명의 예를 설명하는 이 후 설명, 첨부된 청구범위 및 첨부 도면을 참조하여 더 잘 이해될 것이다. 그러나, 각각의 특징은 특정 도면뿐만 아니라 일반적으로 본 발명에 이용될 수 있음을 이해해야 하며, 본 발명은 이러한 특징의 임의의 조합을 포함한다.
도 1은 제 1 및 제 2 코팅 층을 가지는 공정 챔버 부품 실시예의 부분 측단면도이며,
도 2는 부품 상에 코팅을 형성할 수 있는 용사기 실시예의 개략적 부분 도면이며,
도 3a 및 도 3b는 서로 다른 평균 조도의 범위를 갖는 코팅 층을 형성할 수 있는 용사기 노즐 실시예의 각각의 부분 측단면도 및 오프셋 평면도이며,
도 4는 기판 프로세싱 챔버의 실시예의 부분 측단면도이다.
기판 프로세싱 챔버 내에 이용하기에 적합한 부품(20)이 도 1에 도시되어 있다. 부품(20)은 텍스춰 처리된 표면(textured surface; 25)을 가지는 코팅(22)을 포함하며, 상기 텍스춰 처리된 표면에 공정 잔여물이 부착될 수 있어서 하부 구조물의 부식도 방지한다. 코팅(22)을 가지는 부품(20)은 공정 부착물의 축적 및/또는 부식에 영향을 받기 쉬운 챔버(106) 내의 부품일 수 있으며, 상기 부품은 예를 들어, 챔버(106) 내에 공정 가스를 제공하는 가스 전달 시스템(112), 챔버(106) 내의 기판(104)을 지지하는 기판 지지부(114), 공정 가스를 활성화하는 가스 에너자이저(116), 챔버 인클로저 벽(118)과 실드(120), 및 챔버(106)로부터 가스를 배출 하는 가스 배출구(122) 중 하나 이상의 일부분이며, 이들의 예시적인 실시예가 도 4에 도시되어 있다. 예를 들어, 물리 증착 챔버(106)에서, 코팅된 부품이 임의의 챔버 인클로저 벽(118), 챔버 실드(120), 타겟(124), 커버 링(126), 부착 링(128), 지지 링(130), 절연체 링(132), 코일(135), 코일 지지부(137), 셔터 디스크(133), 클램프 실드(141), 및 기판 지지부(114)의 표면(134)을 포함할 수 있다.
챔버 부품(20)은 도 1에 도시된 바와 같이 구조물(24)의 일 부분 이상을 덮는 덮개 코팅(overlying coating; 22)을 가지는 하부 구조물을 포함한다. 하부 구조물(24)은 기판 프로세싱 환경에서 형성된 활성화된 가스와 같은, 활성화된 가스로에 내식성인 재료를 포함한다. 예를 들어, 구조물(24)은 알루미늄, 티타늄, 탄탈, 스테인레스 강, 구리 및 크롬 중 하나 이상과 같은 금속을 포함할 수 있다. 일 예에서, 개선된 내식성을 포함하는 구조물(24)은 알루미늄, 티타늄 및 스테인레스 강 중 하나 이상을 포함한다. 구조물(24)은 예를 들어, 알루미나, 실리카, 지르코니아, 실리콘 질화물 및 알루미늄 질화물 중 하나 이상과 같은 세라믹 재료를 포함할 수도 있다. 구조물(24)의 표면(26)은 코팅(22)과 접촉하며, 표면(24)의 덮개 코팅(22)의 부착을 개선시키는 표면 조도를 가지는 것이 바람직하다. 예를 들어, 표면(26)은 약 2.0 마이크로미터(80 마이크로인치)의 표면 조도를 가질 수 있다.
코팅 재료로 이루어진 두 개 이상의 코팅 층(30a, 30b)을 포함하는 코팅(22)을 제공함으로써 기판 프로세싱이 개선될 수 있음이 발견되었다. 다층 코팅(22)은 하부 구조물(24)에 코팅(22)의 양호한 결합을 제공하도록 선택되는 특징을 가지며 공정 잔여물의 부착도 개선하는 코팅 층(30a, 30b)을 포함한다. 바람직하게 코팅(22)은 하부 구조물(24)의 표면(26)의 적어도 일부분 이상 위에 형성되는 제 1 층(30a), 및 제 1 층의 일부분 이상 위에 형성되는 제 2 층(30b)을 포함한다. 제 1 및 제 2 층(30a, 30b) 중 하나 이상의 층에 있어서 적합한 재료는 예를 들어, 알루미늄, 구리, 스테인레스 강, 텅스텐, 티타늄 및 니켈 중 하나 이상과 같은 금속 재료를 포함할 수 있다. 제 1 및 제 2 층(30a, 30b) 중 하나 이상의 층은 예를 들어, 알루미늄 산화물, 실리콘 산화물, 실리콘 탄화물, 붕소 탄화물 및 알루미늄 질화물 중 하나 이상과 같은 세라믹 재료를 포함할 수도 있다. 일 예에서, 코팅(22)은 스테인레스 강 및 알루미나 중 하나 이상을 포함하는 하부 구조물(24) 위에 형성되는 알루미늄의 하나 이상이 층(30a, 30b)을 포함한다. 코팅(22)이 두 개의 층(30a, 30b)으로만 구성될 수 있지만, 코팅(22)은 개선된 프로세싱 특징을 제공하는 재료의 다층을 포함할 수도 있다.
코팅(22)은 바람직하게, 하부 구조물의 표면(26)에 강화된 결합을 제공하는 특징을 갖는 제 1 층(30a)을 포함한다. 일 예에서, 하부 구조물(24)의 표면(26)에 제 1 층(30a)의 양호한 결합을 제공하기에 충분히 낮은 제 1 평균 표면 조도를 갖는 텍스춰 처리된 표면(32)을 가지는 제 1 층(30a)을 구비하여 개선된 결과를 제공한다. 표면의 조도 평균은 표면을 따른 거친 구조물(feature)의 피크(peak) 및 밸리(valley)의 평균 라인(mean line)으로부터의 변위의 절대 값의 평균이다. 보다 낮은 표면 조도를 가지는 제 1 층(30a)은 층(30)과 하부 표면(26) 사이에 보다 양호한 접촉 영역과 같은 양호한 결합 특징을 나타낸다. 보다 낮은 표면 조도를 가 지는 제 1 층(30a)은 또한 통상적으로 감소된 다공성을 가지며, 이는 결합 경계면에 공극 및 구멍의 수를 감소시킴으로써 하부 표면(26)의 결합을 개선할 수 있다. 적합한 제 1 층(30a)은 예를 들어, 약 15 마이크로미터(600 마이크로인치) 내지 약 23 마이크로미터(900 마이크로인치)와 같은 약 25 마이크로미터(1000 마이크로인치) 미만, 및 심지어 약 20 마이크로미터(800 마이크로인치)의 평균 표면 조도를 가지는 표면(32)을 포함할 수 있다. 제 1 층(30a)의 적합한 다공성은 약 5 부피% 내지 약 9 부피%와 같은 약 10 부피% 미만일 수 있다. 제 1 층(30a)의 두께는 하부 층(26)에 양호한 부착성을 제공면서 양호한 내식성을 제공하도록 선택될 수 있으며, 예를 들어, 약 0.15 mm 내지 약 0.20 mm와 같은, 약 0.10 mm 내지 약 0.25 mm일 수 있다.
코팅(22)은 제 1 층(30a)의 일 부분 이상 위에 형성되는 제 2 코팅 층(30b)을 더 포함하며, 상기 제 2 코팅 층은 공정 잔여물의 개선된 부착성을 제공하는 노출된 텍스춰 처리된 표면(25)을 가진다. 예를 들어, 제 2 코팅 층(30b)은 제 1 층(30b) 보다 큰 평균 표면 조도를 가지는 노출된 텍스춰 처리된 표면(25)을 포함할 수 있다. 보다 높은 평균 표면 조도의 노출된 제 2 표면(30b)은 노출된 표면에 공정 잔여물의 부착성을 강화하여 노출된 텍스춰 처리된 표면(25)으로부터 재료의 플레이킹 또는 분쇄 빈도를 감소시켜, 부품(20)을 이용하여 프로세싱되는 기판(104)의 오염을 방지시킨다. 공정 잔여물의 개선된 부착성을 제공하기에 적합할 수 있는 노출된 텍스춰 처리된 표면(25)의 평균 표면 조도는 약 50 마이크로미터(2000 마이크로인치), 및 약 56 마이크로미터(2200 마이크로인치) 내지 약 66 마이크로미 터(2600 마이크로인치)와 같은, 심지어 약 56 마이크로미터(2200 마이크로인치)의 평균 표면 조도일 수 있다. 증가된 표면 조도를 가지는 제 2 층(30b)은 약 12 부피% 내지 약 25 부피%와 같은 약 12 부피% 이상, 및 심지어 약 15 부피% 이상의 다공성과 같은 제 1 코팅 층(30a)의 다공성 레벨보다 큰 증가된 다공성 레벨을 가질 수도 있다. 제 1 층(30a)의 표면(32)에 제 2 층(30b)의 양호한 부착을 제공하기에 충분하면서, 활성화된 가스에 양호한 내식성을 유지하는 제 2 층(30b)의 두께는 약 0.20 mm 내지 약 0.25 mm와 같은 약 0.15 mm 내지 약 0.30 mm일 수 있다.
제 1 및 제 2 층(30a, 30b)을 포함하는 코팅(22)은 하부 구조물(24)에 코팅(22)의 결합뿐만 아니라 코팅(22)의 잔여물 부착에서의 실질적인 개선을 제공한다. 제 1의 보다 낮은 평균 표면 조도를 포함하는 제 1 층(30a)은 하부 구조물(24)의 표면(26)과 강한 결합을 형성할 수 있어서, 코팅(22)을 하부 구조물(24)에 단단히 고정시킨다. 제 2의 보다 높은 평균 표면 조도를 포함하는 제 2 층(30b)은 보다 낮은 평균 표면 조도를 가지는 표면보다 보다 큰 부피의 공정 잔여물을 축적하고 유지할 수 있어서 코팅(22)을 가지는 부품(20)의 공정 성능을 개선한다. 따라서, 제 1 및 제 2 코팅 층을 가지는 코팅(22)은 기판의 프로세싱에서 개선된 성능을 제공하여, 구조물(24)로부터 코팅(22)의 분쇄를 감소시키고 프로세싱된 기판(104)의 오염을 감소시킨다.
일 예에서, 제 1 및 제 2 코팅 층(30a, 30b)은 바람직하게는, 두 개의 층(30a, 30b) 사이의 결합을 강화하는 재료의 조합물을 포함한다. 예를 들어, 제 1 및 제 2 코팅 층(30a, 30b)은 열 팽창 계수 차이가 약 5 % 미만인 경우와 같이 실 질적으로 유사한 열 팽창 계수를 갖는 재료로 구성될 수 있어서, 열 팽창 미스매치(mismatch)로부터 야기되는 층(30a, 30b)의 분쇄를 감소시킨다. 바람직한 예에서, 제 1 및 제 2 층(30a, 30b)은 동일한 조성을 포함하여 제 1 및 제 2 층(30a, 30b)의 최적의 부착성 및 열적 매칭(thermal matching)을 제공한다. 예를 들어, 제 1 및 제 2 층(30a, 30b)은 알루미늄으로 구성될 수 있다. 동일한 재료를 포함하는 제 1 및 제 2 층(30a, 30b)이 서로 잘 매칭되는 특성을 가지며, 프로세싱 환경에서 서로 다른 응력에 유사하게 반응하기 때문에, 보다 높은 평균 표면 조도를 가지는 제 2 층을 제공하면서도 제 1 층에서의 제 2 층의 양호한 부착성을 더 유지한다.
제 1 및 제 2 층(30a, 30b)의 평균 표면 조도가 외형분석기(profilometer) 또는 주사 전자 현미경에 의해서 결정될 수 있으며, 상기 외형분석기는 니들을 표면(32, 25) 위에서 각각 통과시켜, 표면상의 거침(asperities)의 높이 변동의 흔적을 산출하며, 상기 주사 전자 현미경은 기판으로부터 반사되는 전자 빔을 이용한다. 평균 조도와 같은 표면의 특성 또는 다른 특징들을 측정하는데 있어서, 적합한 절단 길이(cut-off length) 및 평가 길이가 명기되어 있는 1995년 국제 표준 ANSI/ASME B.46.1이 이용될 수 있다. 아래의 표 1은 이러한 표준에 의해 정의된 바와 같은, 평균 조도 값 간의 조화, 적합한 절단 길이, 및 최소 및 통상적인 평가 길이를 나타내고 있다.
Figure 112007045480608-PCT00001
제 1 및 제 2 층(30a, 30b)을 포함하는 코팅(22)은 상기 코팅이 하부 구조물에 보다 강하게 결합될 수 있으며 공정 잔여물의 강화된 부착성을 나타내기 때문에, 단일 층만을 가지는 코팅 보다 개선된 결과를 제공한다. 예를 들어, 약 25 마이크로미터(1000 마이크로인치) 미만의 평균 표면 조도를 가지는 제 1 층(30a), 및 약 51 마이크로미터(2000 마이크로인치) 보다 큰 평균 표면 조도를 가지는 제 2 층(30b)을 포함하는 코팅(22)은 약 200 이상의 RF-시간동안 실질적으로 기판의 오염이 없이 기판(104)을 프로세싱하는데 이용될 수 있다. 대조적으로, 통상적인 단일 층 코팅은 기판의 오염을 방지하기 위해서 부품의 세정이 요구될 때까지, 약 100 미만의 RF-시간 동안 기판(104)을 프로세싱할 수 있다.
코팅 층(30a, 30b)은 하부 구조물(24)을 보호하기 위해서 하부 구조물(24)과 코팅(22) 사이에 강한 결합을 제공하는 방법에 의해 도포될 수 있다. 예를 들어, 코팅 층(30a, 30b) 중 하나 이상이 이중-와이어 아크 스프레이 공정, 플레임 스프레잉 공정(flame spraying process), 플라즈마 아크 스프레잉 공정, 및 옥시-연료 가스 플레임 스프레잉 공정 중 하나 이상의 공정과 같은 용사(thermal spraying) 공정에 의해 도포될 수 있다. 용사 공정과 달리 또는 부가적으로, 하나 이상의 코팅 층이 화학적 또는 물리 증착 공정에 의해 형성될 수 있다. 하나의 예에서, 하부 구조물(24)의 표면(26)이 층(30a, 30b)의 부착 이전에 비드 블라스트 처리(bead blasted)되어, 표면(26)으로부터 임의의 이물(loose particle)을 제거함으로써 후속적으로 도포된 코팅(22)의 부착을 개선하며, 제 1 층(30a)이 결합될 최적의 표면 텍스춰(texture)를 제공한다. 비드 블라스트 처리된 표면(26)이 비드 입자를 제거하도록 세정될 수 있으며, 코팅 층(30a, 30 b)의 양호한 부착을 제공하기 위해서 표면상에 남아있는 임의의 수분을 증발시키도록 건조처리될 수 있다.
일 예에서, 예를 들어, 본원에 전체가 참조되는, 라자쯔(Lazarz) 등에게 2001년 5월 8일 허여된 미국 특허 출원 제 6,227,435 B1, 및 스크럭스(Scruggs)에게 1997년 12월 9일 허여된 미국 특허 제 5,695,825 호에 기재된 바와 같은, 이중 와이어 스프레이 공정에 의해 제 1 및 제 2 코팅 층(30a, 30b)이 부품(20)에 도포될 수 있다. 이중 와이어 아크 용사 공정에서, 용사기(400)는 예를 들어, 도 2에 도시된 바와 같이, 아킹 존(arcing zone; 450) 내에 전기 아크가 형성되도록 형태가 잡히고 각도가 형성된 두 개의 소모 전극(490, 499)을 포함한다. 예를 들어, 소모 전극(490, 499)은 부품의 표면(22) 상에 코팅될 금속으로 형성된 이중 와이어를 포함할 수 있으며, 전기 방전이 가장 가까운 지점에 근접하여 형성되도록 서로를 향해 각도가 형성된다. 전기 아크 방전은 예를 들어, 전기 전력 공급원(452)으로부터 전압이 소모 전극(490, 499)에 인가될 때, 소모 전극(490, 499)들 사이에서 발생되면서, 공기, 질소 또는 아르곤 중 하나 이상과 같은 캐리어 가스가 전극(490, 499)들 사이에서 유동된다. 캐리어 가스는 가압 가스의 소오스(456)를 포함하는 가스 공급원(454) 및 도관(458) 또는 가압 가스를 전극(490, 499)을 지나 지향시키기 위한 다른 지향 수단에 의해 제공될 수 있다. 전극(490, 499) 사이의 아킹(arcing)은 전극(490, 499) 상의 금속을 일 부분 이상 용해시키며 분무화(atomize)하며, 아크 전극(490, 499)에 의해 활성화된 캐리어 가스는 용융 입자를 용사기(400)로부터 부품(20)의 표면(236)을 향해 추진시킨다. 용융 입자는 부품의 표면 상에 충돌하여, 냉각되고 응축되어 등각(conformal) 코팅 층(30a, 30b)을 형성한다. 소모 와이어와 같은 소모 전극(490, 499)은 금속 재료의 연속 공급을 제공하기 위해서 용사기에 연속적으로 공급될 수 있다.
용사기로부터 부품으로의 경로를 이동할 때의, 코팅 재료의 온도 및 속도와 같은, 용사 중에 작동 매개변수는 코팅 재료 도포의 특징을 조절하기에 적합할 수 있도록 선택될 수 있다. 예를 들어, 캐리어 가스 유동률, 캐리어 가스 압력, 전력 레벨, 와이어 공급률, 용사기에서 표면(26)으로의 이격 거리(standoff distance), 및 표면(26)에 대한 코팅 재료의 부착 각도가 코팅 재료의 도포 및 하부 구조물 표면(26)에 대한 코팅(22)의 후속적인 부착을 개선하기 위해서 선택될 수 있다. 예를 들어, 소모 전극(490, 499) 사이의 전압이 약 30 볼트와 같은, 약 10 볼트 내지 약 50 볼트일 수 있도록 선택될 수 있다. 부가적으로, 소모 전극(490, 499)들 사이에서 유동하는 전류가 약 200 암페어와 같은, 약 100 암페어 내지 약 1000 암페어일 수 있도록 선택될 수 있다. 용사기의 전력 레벨은 일반적으로, 약 10 키로와트와 같은 약 6 내지 약 80 키로와트의 범위이다.
이격 거리 및 부착 각도가 표면(26) 상의 코팅 재료의 도포 특징을 조절하기 위해서 선택될 수도 있다. 예를 들어, 이격 거리 및 부착 각도는, 용융 코팅 재료 표면에 충돌할 때 스플래터링(spatter)되어 예를 들어, "펜케이크(pancake)" 및 "라멜라(lamella)" 패턴을 형성하는 것을 개선하기 위해서 조절될 수 있다. 표면(26)에 충돌할 때 코팅 재료의 상, 속도, 또는 액적 크기를 변경하기 위해서 이격 거리 및 부착의 각도가 조절될 수도 있다. 일 실시예에서, 용사기(400)와 표면 사이의 이격 거리는 약 15 ㎝이며, 표면(26)상에서 코팅 재료의 부착 각도는 약 90도이다.
코팅 재료의 속도는 코팅 재료를 표면(26) 상에 적합하게 부착시키도록 조절될 수 있다. 일 실시예에서, 동력을 전달 받은 코팅 재료의 속도는 약 100 내지 약 300 미터/초이다. 또한, 용사기(400)는 코팅 재료가 표면에 충돌할 때 코팅 재료의 온도를 대략적인 융점 보다 높게 할 수 있다. 융점보다 높은 온도는 고밀도 및 고 결합 강도의 코팅을 산출할 수 있다. 예를 들어, 전기 방전부 주변의 활성화된 캐리어 가스의 온도가 5000℃를 초과할 수 있다. 그러나, 전기 방전부 주변의 활성화된 캐리어 가스의 온도는 표면(26)과의 충돌이 이루어지는 시간 동안 코팅 재료가 용융 상태로 유지될 수 정도로 충분히 낮게 설정될 수도 있다. 예를 들어, 적합한 시간 주기는 약 몇 초 이상일 수 있다.
용사 공정 매개변수는 예를 들어, 코팅된 부품(20)의 개선된 성능에 기여하는, 바람직한 코팅 두께, 코팅 표면 조도, 및 코팅의 다공성과 같이, 바람직한 구조 및 표면 특징을 가지는 층(30a, 30b)을 갖는 코팅(22)을 제공하도록 선택되는 것이 바람직하다. 일 예에서, 코팅(22)은, 제 1 층(30a)을 형성한 제 1 단계 중에 제 1의 용사 공정 매개변수를 유지하며, 보다 높은 평균 표면 조도를 가지는 제 2 층(30b)을 형성하기 위한 제 2 단계 중에 용사 공정 매개변수를 제 2의 매개변수 세트로 변경시킴으로써 형성된다. 예를 들어, 제 1의 용사 공정 매개변수는 보다 낮은 평균 표면 조도를 갖는 표면(32)을 구비하는 제 1 층(30a)을 형성하기에 적합할 수 있지만, 제 2의 용사 공정 매개변수는 보다 높은 평균 표면 조도를 갖는 표면(32)를 가지는 제 2 층(30b)을 형성하기에 적합할 수 있다.
일 예에서, 제 1 층(30a)을 부착시키기 위해서 제 1의 열 분사 공정 매개 변수는 상대적으로 높은 제 1 압력의 캐리어 가스를 포함하며, 제 2 층(30b)을 부착시키기 위해서 제 2의 용사 공정 매개변수는 제 1 압력 미만의 상대적으로 낮은 제 2 압력의 캐리어 가스를 포함한다. 예를 들어, 제 1 층(30a)의 부착 중에 유지되는 캐리어 가스의 제 1 압력은 약 275 kPa(40 PSI) 내지 약 415 kPa(60 PSI)와 같은, 약 200 키로파스칼(30 평방 인치당 파운드; PSI) 이상일 수 있다. 캐리어 가스의 보다 높은 압력은 구조물 표면(26) 상에 스프레잉된 코팅 재료의 보다 조밀한 패킹을 야기할 수 있으며, 따라서 보다 낮은 평균 표면 조도의 최종 층을 제공한다. 제 2 층(30b)의 부착 중에 유지되는 제 2 압력의 캐리어 가스는 약 100 kPa(15 PSI) 내지 약 175 kPa(25 PSI)과 같은 약 200 kPa(30 PSI) 미만, 및 심지어 약 175 kPa(25 PSI) 미만일 수 있다. 바람직한 층 특성을 제공하기 위해서, 제 1 및 제 2 층(30a, 30b)의 부착 사이에서 다른 매개변수가 변경될 수 있다.
일 예에서, 제 1 알루미늄 층(30a)을 부착하기 위한 제 1 용사 공정은 약 415 kPa(60 PSI)의 캐리어 가스의 제 1 압력을 유지하면서, 전극(490, 499)에 전력 레벨을 약 100 와트로 인가하는 것을 포함한다. 하부 구조물(24)의 표면(26)으로부터의 이격 거리는 약 15 ㎝(6 인치)로 유지되며, 표면(26)에서의 부착 각도는 약 90°로 유지된다. 제 2 알루미늄 층(30b)을 부착시키기 위한 제 2 용사 공정은 캐리어 가스의 제 2 압력을 약 175 kPa(25 PSI)의 보다 낮은 압력으로 유지하면서, 전극(490, 499)에 전력 레벨을 약 10 와트로 인가하는 것을 포함한다. 제 1 알루미늄 층(30a)의 표면(32)으로부터의 이격 거리는 약 15 ㎝(6 인치)로 유지되며, 표면(32)에서의 부착 각도는 약 90°로 유지된다.
본 발명의 원리에 따라서, 개선된 용사기(400)가 동일한 용사기(400)를 이용하여 보다 높은 평균 표면 조도 및 보다 낮은 평균 표면 조도를 가지는 제 1 층 및 제 2 층(30a, 30b) 모두의 형성을 제공하는 것이 밝혀졌다. 일 예에서, 개선된 용사기(400)는 개선된 노즐(402)을 포함하며, 이의 실시예는 도 3a 및 도 3b에 도시된다. 개선된 노즐은 가압 가스 및 용융 코팅 입자를 수용하는 도관(404), 및 부품 구조물 상에 용융 코팅 재료를 스프레잉시키기 위해서 용사기(400)로부터 가압 가스 및 용융 입자를 방출하는 원뿔형 섹션(406)을 포함한다. 도관(404)은 전기 아크 영역으로부터 도관으로 유동하는 가압 가스 및 코팅 입자를 수용하는 입구(403)를 포함한다. 원뿔형 섹션(406)은 도관(404)으로부터 가압 가스 및 코팅 입자를 수용하는 입구(405)를 포함하며, 노즐(402)로부터 가스 및 용융 코팅 입자를 방출하는 출구(407)를 가진다.
원뿔형 섹션(406)의 벽은 원뿔형 섹션 입구(405)에서의 제 1 직경 (d1)에서부터 원뿔형 섹션(407)에서의 제 2 직경 (d2)까지 원뿔형 섹션(406)의 중심 축선(409) 둘레에서 외측으로 연장하는 경사진 원뿔형 측벽(408)을 포함한다. 경사진 원뿔형 측벽(408)은 상기 섹션을 통하는 원뿔형 유동 경로를 제공하며, 입구(405)에서의 보다 좁은 유동 경로는 출구(407)에서 보다 넓은 유동 경로로 점차 커진다. 예를 들어, 원뿔형 측벽(408)은 약 10 mm 내지 약 23 mm와 같은 약 5 mm 내지 약 23 mm, 및 심지어 약 10 mm 내지 약 15 mm의 제 1 직경을 포함할 수 있다. 제 2 직경은 약 23 mm 내지 약 25 mm와 같은, 약 20 mm 내지 약 35 mm일 수 있다. 출구(407)의 바람직한 제 2 직경은 예를 들어, 입구 직경 크기의 약 1.5배 내지 약 2배와 같은, 입구(405)의 제 1 직경의 약 1.5 배 이상일 수 있다. 경사진 원뿔형 측벽(408)은 서로에 대해 약 90 °와 같은, 약 60°내지 약 120 °의 각도 α를 형성한다.
개선된 노즐(402)은 평균 표면 조도 범위를 가지는 코팅 층(30a, 30b)의 부착을 제공하기 위해서 관통하여 통과하는 용융 코팅 입자 및 가압 가스를 통과시킬 수 있다. 원뿔형 섹션 입구(405)의 제 1 직경 (d1)은 제 1 및 제 2 층(30a, 30b)의 바람직한 최소 및 최대 표면 조도에 따라서 선택될 수 있으며, 보다 작은 제 1 직경은 상대적으로 보다 적은 평균 표면 조도 범위를 뒷받침하며, 보다 큰 제 1 직경은 상대적으로 보다 높은 평균 표면 조도의 범위를 촉진시킨다. 제 2 직경 (d2)는 바람직한 코팅 특성을 제공하기 위해서 스프레잉된 코팅 재료의 바람직한 확산 및 분포를 제공하도록 크기가 정해질 수 있다. 스프레잉 공정 매개변수는 바람직한 평균 표면 조도를 제공하도록 선택된다. 예를 들어, 상대적으로 높은 압력의 캐리어 가스는 상대적으로 낮은 평균 표면 조도를 가지는 층(30a)을 형성하도록 제공될 수 있는 반면, 상대적으로 낮은 압력의 캐리어 가스는 상대적으로 높은 평균 표면 조도를 가지는 층(30b)을 형성하도록 제공될 수 있다. 보다 높은 압력의 가스는 적어도 부분적으로는 코팅 재료의 높은 공급율로 인해서, 용융 코팅 재료를 부품 구조물의 표면 상에 보다 조밀하게 그리고 균질적으로 서로 패킹시켜서, 보다 낮은 표면 조도 구조물을 산출하는 것으로 믿어진다. 보다 낮은 압력은 보다 낮은 공급율을 산출하며, 따라서 보다 높은 다공성 및 보다 높은 평균 표면 조도를 가지는 코팅 구조물을 야기한다. 개선된 노즐(402)은 실질적으로 각각의 층(30a, 30b)에 대한 별도의 장치 부품을 필요로 하지 않으면서, 또는, 다수의 스프레잉 매개변수를 재설정할 필요없이, 부품(20)상에 서로 상이한 평균 표면 조도를 가지는 층(30a, 30b)의 효율적인 제조를 허용하고, 코팅 입자의 확산 및 분포와 같은 바람직한 스프레잉 특성을 허용하기도 한다.
코팅(22)이 도포되면, 코팅(22)의 표면(25)으로부터 임의의 이물 코팅 입자 또는 다른 오염물질이 세정될 수 있다. 표면(25)은 물, 산성 세정 용액, 및 염기성 세정 용액 중 하나 이상과 같은 세정 유체로 세정될 수 있으며, 선택적으로는, 부품(20)이 초음파식으로 교반(agitating)으로써 세정될 수 있다. 일 예에서, 표면(25)은 탈 이온수로 린싱함으로써 세정된다.
코팅된 부품(20)은 코팅(22)의 축적된 공정 잔여물 및 부식된 부분을 부품(20)으로부터 제거하기 위해서 하나 이상의 기판(104)을 프로세싱한 후에 세정 및 개장(改裝)시킬 수도 있다. 일 예에서, 부품(20)은 코팅 층(30a, 30b)을 재도포하기 전에 하부 구조물(26)을 세정하기 위해서 다양한 세정 공정을 수행하며 코팅(22) 및 공정 잔여물을 제거함으로써 개장될 수 있다. 하부 구조물(26)을 세정하는 것은 하부 구조물(24)과 후속적으로 재형성된 코팅(22) 사이의 강화된 결합을 제공한다. 예를 들어, 본원에 전체가 참조되며, 어플라이드 머티어리얼즈(Applied Materials)에 양도되고, 2004년 4월 27일 출원된 린 등에 의해 미국 출원 번호 10/833,975 호에 기재된 세정 방법에 의해서 하부 구조물이 세정된다면, 코팅(22)이 하부 구조물(24)의 표면(26) 위에 재형성될 수 있다.
코팅 층(30a, 30b)을 갖는 부품을 가지는 적합한 공정 챔버(106)의 예가 도 4에 도시되어 있다. 챔버(106)는 기판(104)을 챔버(106)들 사이로 이동시키는 로봇 아암 메커니즘에 의해 연결된 상호연결된 챔버의 클러스터를 가지는 다중 챔버 플랫폼(도시되지 않음)의 일 부분일 수 있다. 도시된 일 예에서, 공정 챔버(106)는 물리 증착 챔버 또는 PVD 챔버로 지칭되기도 하는 스퍼터 부착 챔버를 포함하며, 탄탈, 탄탈 질화물, 티타늄, 티타늄 질화물, 구리, 텅스텐, 텅스텐 질화물 및 알루미늄 중 하나 이상과 같은 재료를 기판(104) 상에 스퍼터 부착시킬 수 있다. 챔버(106)는 공정 영역(109)을 에워싸는 인클로저 벽(118)을 포함하며, 그리고 측벽(164), 바닥 벽(166), 및 실링(168)을 포함한다. 지지 링(130)은 실링(168)을 지지하기 위해서 측벽(164)과 실링(168) 사이에 배열될 수 있다. 다른 챔버는 스퍼터링 환경으로부터 인클로저 벽(118)을 감싸는 하나 이상의 실드(120)를 포함할 수 있다.
챔버(106)는 스퍼터 부착 챔버(106) 내의 기판을 지지하기 위해서 기판 지지부(130)을 포함한다. 기판 지지부(130)는 RF 전력 공급원과 같은, 전력 공급원(172)에 의해 바이어싱되는 전극(170)을 포함할 수 있으며, 전기적으로 플로팅될 수 있다. 기판 지지부(130)는 기판(104)이 존재할 때 지지부(130)의 상부 표면(134)을 보호할 수 있는 셔터 디스크(133)를 포함할 수도 있다. 작동 시에, 기판(104)은 챔버(106)의 측벽(164) 내의 기판 로딩 입구(도시되지 않음)를 통해 챔버 (106) 내측으로 도입되어, 지지부(130) 상에 위치된다. 지지부(130)는 지지부 리프트 벨로스(lift bellows)에 의해 상승 또는 하강될 수 있으며, 리프트 핑거 조립체(도시되지 않음)는 기판(104)의 챔버(106) 내측으로의 전달 및 챔버(106)로부터의 전달 중에 지지부(130) 상에서 기판을 상승 및 하강시키는데 이용될 수 있다.
지지부(130)는 지지부(130)의 부식을 방지하기 위해서 지지부(130)의 상부면(134)의 일부분 이상을 덮는, 커버 링(126) 및 부착 링(128)과 같은 하나 이상의 링을 포함할 수도 있다. 일 예에서, 부착 링(128)은 기판(104)을 일부분 이상 에워싸며 기판(104)에 의해 덮이지 않는 지지부(130)의 부분을 보호한다. 커버 링(126)은 부착 링(128)의 일 부분 이상을 에워싸며 덮으며, 부착 링(128) 및 하부 구조물(130) 상에서의 입자의 부착을 감소시킨다.
스퍼터링 가스와 같은 공정 가스가 가스 전달 시스템을 통해 챔버(106) 내측으로 도입되며, 설정된 유동률의 가스를 관통하여 통과시키기 위해서, 상기 가스 전달 시스템은 질량 유동 제어기와 같은, 가스 유동 제어 밸브(178)를 가지는 도관(176)을 각각 공급하며 하나 이상의 가스 소오스를 포함하는 공정 가스 공급원을 포함한다. 도관(176)은 가스가 바람직한 공정 가스 조성을 형성하도록 혼합되는 혼합 다기관(도시되지 않음)에 가스를 공급할 수 있다. 혼합 다기관은 챔버(106) 내에 하나 이상의 가스 출구(182)를 가지는 가스 분배기(180)를 공급한다. 공정 가스는 아르곤 또는 크세논과 같은 비-반응성 가스를 포함할 수 있으며, 효과적으로 충돌하여 타켓으로부터 재료를 스퍼터링할 수 있다. 공정 가스는 산소 함유 가스 및 질소 함유 가스들 중 하나 이상의 가스와 같은 반응성 가스를 포함할 수도 있으며, 기판(104) 상에 층을 형성하기 위해서 스퍼터링된 재료와 반응할 수 있다. 다 쓴 공정 가스 및 부산물은 하나 이상의 배기 포트(184)를 포함하는 배출구(122)를 통해 챔버(106)로부터 배기될 수 있으며, 상기 배기 포트는 소모된 공정 가스를 수용하며 소모된 가스를 배기 도관(186)으로 통과시키며, 상기 배기 도관 내에는 챔버(106) 내의 가스의 압력을 제어하는 스로틀 밸브(188)가 있다. 배기 도관(186)은 하나 이상의 배기 펌프(190)를 공급한다. 통상적으로, 챔버(106) 내의 스퍼터링 가스의 압력은 대기 레벨 이하(sub-atmospheric levels)로 설정된다.
스퍼터링 챔버(106)는 기판(104)의 표면(105)을 향하는 스퍼터링 타겟(124)을 더 포함하며, 기판(104) 상에 스퍼터링될 재료를 포함한다. 타겟(124)은 환형 절연체 링(132)에 의해 챔버(106)로부터 전기적으로 분리되며, 전력 공급원(192)에 연결된다. 스퍼터링 챔버(106)는 스퍼터링된 재료로부터 챔버(106)의 벽(118)을 보호하기 위해서 실드(120)도 가진다. 실드(120)는 상부 및 하부 실드 섹션(120a, 120b)을 가지는 벽-형 원통형 형상을 포함할 수 있으며, 상기 상부 및 하부 실드 섹션은 챔버(106)의 상부 및 하부 영역을 보호한다. 도 4에 도시된 예에서, 실드(120)는 지지 링(130)에 장착된 상부 섹션(120a) 및 커버 링(126)에 고정되는 하부 섹션(120b)을 가진다. 클램프 링을 포함하는 클램프 실드(141)는 상부 및 하부 실드 섹션(120a, 120b)을 서로 클램핑 시키도록 제공될 수도 있다. 내부 및 외부 실드와 같은, 대안적인 실드 구성이 제공될 수도 있다. 일 예에서, 전력 공급원(192), 타겟(124), 및 실드(120) 중 하나 이상은 타겟(124)으로부터 재료를 스퍼터링하기 위해서 스퍼터링 가스를 활성화할 수 있는 가스 에너자이저(116)로서 작동한다. 전력 공급원(192)은 바이어스 전압을 실드(120)에 대해 타겟(124)에 인가한다. 인가된 전압으로부터 챔버(106) 내에 발생되는 전기장은 스퍼터링 가스를 활성화하여 플라즈마를 형성하며, 상기 플라즈마는 타겟(124)에 충돌하며 충격을 가하여 재료를 타켓(124)으로부터 그리고 기판(104) 상에서 스퍼터링시킨다. 전극(170) 및 지지 전극 전력 공급원(172)을 가지는 지지부(130)는 기판(104)을 향해 타겟(124)으로부터 스퍼터링되는 이온화된 재료를 활성화하고 가속화시킴으로써 가스 에너자이저(116)의 일부로서 작동할 수도 있다. 또한, 가스 활성화 코일(gas energizing coil; 135)이 제공될 수 있으며, 이는 전력 공급원(192)에 의해 동력이 형성되며 챔버(106) 내에 위치될 수 있어서 개선된 활성화 가스 밀도와 같은, 강화된 활성화 가스 특징을 제공한다. 가스 활성화 코일(135)은 실드(120) 또는 챔버(106) 내의 다른 벽에 부착되는 코일 지지부(137)에 의해 지지될 수 있다.
챔버(106)는 제어기(194)에 의해 제어되며, 상기 제어기는 명령어 세트를 가지는 프로그램 코드를 포함하여 챔버(106)의 부품을 작동시켜 챔버(106) 내의 기판(104)을 프로세싱한다. 예를 들어, 제어기(194)는 기판 전달부 및 기판 지지부(130) 중 하나 이상을 작동시켜 챔버(106) 내에 기판(104)을 위치시키는 기판 위치설정 명령어 세트: 유동 제어 밸브(178)를 작동시켜 스퍼터링 가스의 유동을 챔버(106)로 설정하는 가스 유동 제어 명령어 세트; 배기 스로틀 밸브(188)를 작동시켜 챔버(106) 내의 압력을 유지하는 가스 압력 제어 명령어 세트; 가스 에너자이저(116)를 작동시켜 가스 활성화 전력 레벨을 설정하는 가스 에너자이저 제어 명령어 세트; 챔버(106) 내의 온도를 제어하는 온도 제어 명령어 세트; 및 챔버(106) 내의 공정을 측정하기 위한 공정 측정 명령어 세트를 포함할 수 있다.
본 발명의 예시적인 실시예가 도시되고 기재되어 있지만, 당업자들은 본 발명을 통합시킬 수 있는 본 발명의 범위 내에서의 다른 실시예를 고안할 수 있다. 예를 들어, 본 명세서에 기재된 예시적인 부품 이외에 다른 챔버 부품도 세정될 수도 있다. 다른 용사기(400) 구성 및 실시예가 이용될 수도 있으며, 본 명세서에 기재된 것 이외의 코팅 및 구조물 구성이 이용될 수 있다. 본 명세서에 기재된 것 이외의 부가적인 세정 단계가 수행될 수도 있으며, 세정 단계는 본 명세서에 기재된 것 이외의 순서로 수행될 수도 있다. 또한, 예시적인 실시예에 대해서 도시된 관련 용어 또는 위치상 용어는 변경될 수 있다. 따라서, 첨부된 청구범위가 본 발명을 설명하기 위해서 본 명세서에 기재된 바람직한 예, 재료, 또는 공간적 배열의 설명에 제한되는 것은 아니다.

Claims (12)

  1. 공정 챔버 내의 활성화된 가스에 노출될 수 있는 기판 프로세싱 챔버 부품으로서,
    (a) 하부 구조물;
    (b) 약 25 마이크로미터 미만의 평균 표면 조도를 갖는 제 1 표면을 포함하는, 상기 하부 구조물 위의 제 1 코팅 층; 및
    (c) 약 50 마이크로미터 이상의 평균 표면 조도를 갖는 제 2 표면을 포함하는, 상기 제 1 코팅 층 위의 제 2 코팅 층을 포함하는
    기판 프로세싱 챔버 부품.
  2. 제 1 항에 있어서,
    (1) 제 1 및 제 2 코팅 층이 스프레잉된 알루미늄 코팅 층을 포함하는 특징, 그리고
    (2) 상기 하부 구조물이 알루미늄, 티타늄, 탄탈, 스테인레스 강, 구리 및 크롬 중 하나 이상을 포함하는 특징, 중 하나 이상을 포함하는
    기판 프로세싱 챔버 부품.
  3. 제 1 항에 있어서,
    (1) 상기 제 1 코팅 층이 약 10% 미만의 다공성을 가지는 특징,
    (2) 상기 제 2 코팅 층이 약 12% 이상의 다공성을 포함하는 특징, 또는
    (3) 상기 제 2 코팅 층이 약 15% 이상의 다공성을 가지는 특징, 중 하나 이상을 포함하는
    기판 프로세싱 챔버 부품.
  4. 제 1 항에 있어서,
    상기 제 1 코팅 층이 약 0.1 mm 내지 약 0.25 mm 두께를 포함하며, 상기 제 2 코팅 층이 약 0.15 mm 내지 약 0.3 mm의 두께를 포함하는
    기판 프로세싱 챔버 부품.
  5. 제 1 항에 있어서,
    상기 부품이 챔버 인클로저 벽, 실드, 공정 키트, 기판 지지부, 가스 전달 시스템, 가스 에너자이저, 및 가스 배출구의 일 부분 이상을 포함하는
    기판 프로세싱 챔버 부품.
  6. 제 1 항에 있어서,
    상기 챔버가 기판 지지부, 가스 전달 시스템, 가스 에너자이저 및 가스 배출구를 포함하는
    기판 프로세싱 챔버 부품.
  7. 기판 프로세싱 챔버 부품을 제조하는 방법으로서,
    하부 구조물을 제공하는 (a) 단계;
    평균 표면 조도가 약 25 마이크로미터 미만인 제 1 표면을 제 1 코팅 층 상에 형성하도록 제 1의 스프레잉 매개변수를 유지하면서 상기 하부 구조물 상에 제 1 코팅 층을 스프레잉하는 (b) 단계;
    평균 표면 조도가 약 50 마이크로미터 이상인 제 2 표면을 제 2 코팅 층 상에 형성하도록 제 2의 스프레잉 매개변수를 유지면서 상기 제 1 코팅 층 위에 제 2 코팅 층을 스프레잉하는 (c) 단계를 포함하는
    기판 프로세싱 챔버 부품 제조 방법.
  8. 제 7 항에 있어서,
    상기 (b) 단계 및 (c) 단계는 가압 가스를 이용하여 노즐을 통해 코팅 재료를 추진시키는 단계를 포함하며, 상기 노즐은 노즐 출구에서의 직경이 노즐 입구에서의 직경의 약 1.5배 큰 원뿔형 유동 경로를 포함하는
    기판 프로세싱 챔버 부품 제조 방법.
  9. 제 8 항에 있어서,
    상기 (b) 단계가 코팅 재료를 상기 노즐을 통해 약 200 kPa 이상의 제 1 압력으로 추진시키는 단계를 포함하며, 상기 (c) 단계가 코팅 재료를 동일한 상기 노즐을 통해 상기 제 1 압력 보다 낮은 약 175 kPa 미만의 제 2 압력으로 추진시키는 단계를 포함하는
    기판 프로세싱 챔버 부품 제조 방법.
  10. 코팅을 구조물상에 형성할 수 있는 이중 와이어 아크 스프레이어로서,
    (a) 하나 이상의 소모성 전극을 포함하는 제 1 및 제 2 전극 사이에 전기 아크를 발생시키도록 바이어싱될 수 있는 제 1 및 제 2 전극;
    (b) 가압 가스를 상기 전극을 지나 지향시키기 위한 가압 가스의 공급원;
    (c) 가압 가스가 관통하여 유동되는 노즐을 포함하며,
    상기 노즐은:
    (ⅰ) 상기 가압 가스를 수용하기 위한 도관; 및
    (ⅱ) 상기 도관에 부착되는 입구 및 상기 가압 가스를 방출하는 출구를 가지는 원뿔형 섹션을 포함하며,
    상기 원뿔형 섹션은 상기 입구에서 출구로 외측 연장하는 경사진 원뿔형 측벽을 포함하며, 상기 입구는 제 1 직경을 가지며, 상기 출구는 제 2 직경을 가지며, 상기 제 2 직경은 상기 제 1 직경의 크기의 1.5배 이상이며, 상기 노즐을 통해 유동하는 상기 가압 가스의 압력이 상기 코팅의 미리 결정된 평균 표면 조도를 제공하도록 선택될 수 있으며,
    상기 소모 전극은 상기 전기 아크에 의해 일부분 이상 용해되어 용융 재료를 형성하며, 상기 용융 재료가 상기 노즐을 통해 가압 가스에 의해 추진되어 상기 구조물 상에서 상기 코팅을 형성하는
    이중 와이어 아크 스프레이어.
  11. 제 10 항에 있어서,
    상기 경사진 원뿔형 측벽이 약 60°내지 약 120°의 각도를 형성하는
    이중 와이어 아크 스프레이어.
  12. 제 10 항에 있어서,
    상기 제 1 직경이 약 5 mm 내지 약 23 mm이며, 상기 제 2 직경이 약 20 mm 내지 약 35 mm인
    이중 와이어 아크 스프레이어.
KR1020077014306A 2004-11-24 2005-11-18 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버부품 제조 방법 KR101274057B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/996,883 2004-11-24
US10/996,883 US7579067B2 (en) 2004-11-24 2004-11-24 Process chamber component with layered coating and method
PCT/US2005/041862 WO2006073585A2 (en) 2004-11-24 2005-11-18 Process chamber component with layered coating and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127034181A Division KR101281708B1 (ko) 2004-11-24 2005-11-18 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버 부품 제조 방법

Publications (2)

Publication Number Publication Date
KR20070089955A true KR20070089955A (ko) 2007-09-04
KR101274057B1 KR101274057B1 (ko) 2013-06-12

Family

ID=36461277

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077014306A KR101274057B1 (ko) 2004-11-24 2005-11-18 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버부품 제조 방법
KR1020127034181A KR101281708B1 (ko) 2004-11-24 2005-11-18 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버 부품 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127034181A KR101281708B1 (ko) 2004-11-24 2005-11-18 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버 부품 제조 방법

Country Status (7)

Country Link
US (2) US7579067B2 (ko)
EP (1) EP1815038B1 (ko)
JP (1) JP5058816B2 (ko)
KR (2) KR101274057B1 (ko)
CN (1) CN101065510B (ko)
TW (2) TWI326315B (ko)
WO (1) WO2006073585A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101876522B1 (ko) * 2012-08-08 2018-07-09 주식회사 원익아이피에스 기판 셔틀 장치, 이를 포함하는 기상 증착 장치 및 기판 셔틀 장치의 제조방법
KR20210026200A (ko) * 2019-08-29 2021-03-10 삼원테크노 주식회사 선박 배기가스 정화 스크러버용 강판의 코팅방법

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US20070125646A1 (en) 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
WO2008049460A1 (de) * 2006-10-24 2008-05-02 Siemens Aktiengesellschaft Verfahren zur einstellung der oberflächenrauhigkeit bei niedertemperaturbeschichtungsverfahren und bauteil
US8221602B2 (en) * 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
KR101504085B1 (ko) * 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
WO2008117482A1 (ja) * 2007-03-22 2008-10-02 Kabushiki Kaisha Toshiba 真空成膜装置用部品及び真空成膜装置
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20110041760A1 (en) * 2008-04-30 2011-02-24 Ulvac, Inc Method for the production of water-reactive al film and constituent member for film-forming chamber
JP5762281B2 (ja) 2008-05-02 2015-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf物理気相蒸着用処理キット
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
US20110036709A1 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9834840B2 (en) 2010-05-14 2017-12-05 Applied Materials, Inc. Process kit shield for improved particle reduction
CN102465248B (zh) * 2010-11-16 2014-01-08 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
IL213533A (en) * 2011-06-14 2015-11-30 Leo Mendelovici Process for Thermal Spraying of Protective and Porous Metallic Coating on Finishing Materials of Machine Parts for Splicing Thin Layers
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US8734586B2 (en) 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US8734907B2 (en) 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
DE102012105607A1 (de) * 2012-06-27 2014-01-02 Martinrea Honsel Germany Gmbh Verfahren zur Herstellung von Komposit-Spritzschichten auf Zylinderlaufflächen von Zylinderkurbelgehäusen
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9337002B2 (en) 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
WO2014158253A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
CN103572278A (zh) * 2013-10-21 2014-02-12 黄宣斐 一种铝基表面材料制造方法
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
WO2015190752A1 (ko) * 2014-06-11 2015-12-17 (주) 코미코 박막 증착 장치용 내부재 및 이의 제조 방법
KR101790394B1 (ko) * 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
WO2015188879A1 (en) * 2014-06-13 2015-12-17 Applied Materials, Inc. Flat edge design for better uniformity and increased edge lifetime
US20160168687A1 (en) * 2014-12-14 2016-06-16 Applied Materials, Inc. Particle reduction in a deposition chamber using thermal expansion coefficient compatible coating
JP2018502223A (ja) * 2014-12-15 2018-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ構成要素にテクスチャを付ける方法およびテクスチャ付き表面を有するチャンバ構成要素
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
CN108028173A (zh) * 2015-07-23 2018-05-11 霍尼韦尔国际公司 改进的溅射线圈产品和制作方法
US10655212B2 (en) 2016-12-15 2020-05-19 Honeywell Internatonal Inc Sputter trap having multimodal particle size distribution
US10662520B2 (en) * 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
CN113243040A (zh) * 2018-12-13 2021-08-10 朗姆研究公司 用于工件处理室的组成零件的多层涂层
JP7361497B2 (ja) * 2019-05-28 2023-10-16 東京エレクトロン株式会社 成膜装置
TW202134623A (zh) * 2019-12-24 2021-09-16 恆利醫學科技股份有限公司 分析物感測系統及其卡匣
US11661665B2 (en) * 2020-04-30 2023-05-30 The Boeing Company Aluminum and aluminum alloy electroplated coatings
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
US11450514B1 (en) 2021-03-17 2022-09-20 Applied Materials, Inc. Methods of reducing particles in a physical vapor deposition (PVD) chamber
CN113088864B (zh) * 2021-04-13 2022-11-29 宁波大学 一种电场辅助电弧喷涂装置及方法
US20240308926A1 (en) * 2021-08-19 2024-09-19 Lam Research Corporation Treated ceramic chamber parts
US20230290615A1 (en) * 2022-03-10 2023-09-14 Applied Materials, Inc. Multilayer coating for corrosion resistance
TW202415801A (zh) * 2022-06-28 2024-04-16 美商恩特葛瑞斯股份有限公司 用於輸送系統之模組及相關方法
US20240096678A1 (en) * 2022-09-21 2024-03-21 Intel Corporation Carrier chuck and methods of forming and using thereof
CN116904953A (zh) * 2023-09-14 2023-10-20 上海陛通半导体能源科技股份有限公司 一种气相沉积设备

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US2935788A (en) * 1957-05-07 1960-05-10 Jacob L Kleinman Electrically operated dry shaving implements
US2931099A (en) * 1958-04-17 1960-04-05 Samuel D Schell Electric razor having an oscillating tapered blade
US2977677A (en) * 1959-01-28 1961-04-04 George A Tice Electric razor for shaving
US3092904A (en) * 1960-05-09 1963-06-11 Bruecker John Movable cutter for a dry shaver having saw tooth design cutting edge
US3028668A (en) * 1960-08-16 1962-04-10 Dechaux Charles Dry shaver with rocking cutter
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3457151A (en) * 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) * 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3453909A (en) * 1968-03-27 1969-07-08 Victor Yager Shear plate and screen for dry shaver
US3493793A (en) * 1968-07-05 1970-02-03 Oster Mfg Co John Hair clipper having oscillating armature motor
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
USRE31198E (en) * 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4105493A (en) * 1975-07-05 1978-08-08 The Gillette Company Production of shaving foil
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4133103A (en) * 1977-11-04 1979-01-09 Sunbeam Corporation Comb assembly for an electric dry shaver
US4150482A (en) * 1977-11-14 1979-04-24 Sunbeam Corporation Modular cutter assembly for an electric dry shaver
NL7713047A (nl) * 1977-11-28 1979-05-30 Philips Nv Scheerapparaat.
GB2057333B (en) * 1979-08-07 1982-12-15 Matsushita Electric Works Ltd Shaving blade assembly
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
NL8200101A (nl) * 1982-01-13 1983-08-01 Philips Nv Scheerapparaat.
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59177089A (ja) * 1983-03-28 1984-10-06 松下電工株式会社 電気カミソリ
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
JPS61146717A (ja) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) * 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
NL8700187A (nl) * 1987-01-27 1988-08-16 Philips Nv Knipeenheid voor een scheerapparaat.
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US5356890A (en) * 1988-06-15 1994-10-18 Brigham And Women's Hospital S-nitroso derivatives of ace inhibitors and the use thereof
US5032469A (en) * 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
US4959105A (en) * 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) * 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
DE69030140T2 (de) * 1989-06-28 1997-09-04 Canon Kk Verfahren und Anordnung zur kontinuierlichen Bildung einer durch Mikrowellen-Plasma-CVD niedergeschlagenen grossflächigen Dünnschicht
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) * 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) * 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
AT395125B (de) * 1991-01-18 1992-09-25 Philips Nv Elektrisches trockenrasiergeraet
US5215624A (en) * 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) * 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
DE69222129T2 (de) 1991-12-18 1998-04-09 Sumitomo Light Metal Ind Automobilkarrosserieblech aus mehrfach beschichteter Aluminiumplatte
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
DE69413613T2 (de) * 1993-07-16 1999-03-18 Kabushiki Kaisha Toshiba, Kawasaki, Kanagawa Metalloxid-Widerstand, Leistungswiderstand und Leistungsschalter
DE59406576D1 (de) 1993-12-27 1998-09-03 Hoechst Ag Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
DE4413352C1 (de) * 1994-04-18 1995-05-04 Braun Ag Verfahren zur Herstellung eines Messers für eine Schneideinrichtung eines elektrischen Rasierapparates oder Bartschneiders
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6120621A (en) * 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5808270A (en) * 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) * 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US6032365A (en) * 1997-02-24 2000-03-07 James L. Hodges Slotted rotary shaver
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5901446A (en) * 1997-09-15 1999-05-11 Remington Corporation, L.L.C. Long hair cutting and beard lifting foil construction
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
EP1043428B1 (en) 1997-12-22 2006-06-07 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (sv) * 1998-10-26 2000-06-12 G S G As Bearbetning av niob-och tantalinnehållande material
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6810887B2 (en) * 2000-08-11 2004-11-02 Chemtrace Corporation Method for cleaning semiconductor fabrication equipment parts
US6383459B1 (en) * 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
US6601302B2 (en) * 2000-09-08 2003-08-05 Remington Corporation, L.L.C. Shaving systems and adjustable trimmers therefor
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030070304A1 (en) * 2001-10-15 2003-04-17 Zachary Curello Cutting foil for rotary shavers and manufacturing methods for producing same
US6454870B1 (en) * 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US7146991B2 (en) 2002-01-23 2006-12-12 Cinetic Automation Corporation Parts washer system
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US20030170486A1 (en) * 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US20030221702A1 (en) 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
FR2847719B1 (fr) * 2002-11-25 2005-03-11 Cit Alcatel Cellule solaire pour panneau de generateur solaire, panneau de generateur solaire et vehicule spatial
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004232016A (ja) * 2003-01-30 2004-08-19 Toshiba Corp 真空成膜装置用部品およびそれを用いた真空成膜装置
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101876522B1 (ko) * 2012-08-08 2018-07-09 주식회사 원익아이피에스 기판 셔틀 장치, 이를 포함하는 기상 증착 장치 및 기판 셔틀 장치의 제조방법
KR20210026200A (ko) * 2019-08-29 2021-03-10 삼원테크노 주식회사 선박 배기가스 정화 스크러버용 강판의 코팅방법

Also Published As

Publication number Publication date
JP2008522031A (ja) 2008-06-26
US20060110620A1 (en) 2006-05-25
TW200932953A (en) 2009-08-01
WO2006073585A3 (en) 2006-09-08
CN101065510B (zh) 2011-04-06
US20100086805A1 (en) 2010-04-08
EP1815038B1 (en) 2017-03-01
KR101274057B1 (ko) 2013-06-12
EP1815038A2 (en) 2007-08-08
US8021743B2 (en) 2011-09-20
TWI326315B (en) 2010-06-21
KR101281708B1 (ko) 2013-07-03
CN101065510A (zh) 2007-10-31
JP5058816B2 (ja) 2012-10-24
TWI326314B (en) 2010-06-21
US7579067B2 (en) 2009-08-25
TW200619421A (en) 2006-06-16
WO2006073585A2 (en) 2006-07-13
KR20130018957A (ko) 2013-02-25

Similar Documents

Publication Publication Date Title
KR101281708B1 (ko) 층을 이룬 코팅을 갖는 공정 챔버 부품 및 상기 공정 챔버 부품 제조 방법
US7910218B2 (en) Cleaning and refurbishing chamber components having metal coatings
US20060105182A1 (en) Erosion resistant textured chamber surface
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
US9689070B2 (en) Deposition ring and electrostatic chuck for physical vapor deposition chamber
US6444083B1 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US7026009B2 (en) Evaluation of chamber components having textured coatings
US8142989B2 (en) Textured chamber surface
US6656535B2 (en) Method of fabricating a coated process chamber component
KR101046958B1 (ko) 아크-스프레이 코팅 적용 및 기능을 용이하게 하는 하드웨어 피처의 설계
US20140102369A1 (en) Plasma sprayed deposition ring isolator

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180601

Year of fee payment: 6