JP2008042208A - 酸素含有炭化ケイ素膜を形成するための方法 - Google Patents

酸素含有炭化ケイ素膜を形成するための方法 Download PDF

Info

Publication number
JP2008042208A
JP2008042208A JP2007203606A JP2007203606A JP2008042208A JP 2008042208 A JP2008042208 A JP 2008042208A JP 2007203606 A JP2007203606 A JP 2007203606A JP 2007203606 A JP2007203606 A JP 2007203606A JP 2008042208 A JP2008042208 A JP 2008042208A
Authority
JP
Japan
Prior art keywords
silicon carbide
film
carbide film
inert gas
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007203606A
Other languages
English (en)
Other versions
JP2008042208A5 (ja
JP5268130B2 (ja
Inventor
Atsutake Fukazawa
篤毅 深澤
Manabu Kato
加藤  学
Nobuo Matsuki
信雄 松木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2008042208A publication Critical patent/JP2008042208A/ja
Publication of JP2008042208A5 publication Critical patent/JP2008042208A5/ja
Application granted granted Critical
Publication of JP5268130B2 publication Critical patent/JP5268130B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】リソグラフィに使用される波長が短くなるに従って生じるレジストの劣化によるレジスト改質のような各種の問題を解決する。
【解決手段】反応空間の中に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法であって、Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を有する前駆体を導入する工程と、前記反応空間の中に不活性ガスを導入する工程と、前記反応空間にRF電力を印加するが、ここで、前記不活性ガスの流量(sccm)の前記RF電力(W/cm)に対する比率を、30〜850に調節する工程と、それによって、前記基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を堆積させる工程を含む方法。
【選択図】図1

Description

本発明は、一般的には半導体技術に関し、さらに詳しくは、相互接続構造を形成するためのバリヤー膜として、またはフォトリソグラフィのための反射防止膜として使用される酸素含有炭化ケイ素膜に関し、このものは、プラズマ重合により形成される。
プラズマ化学気相成長法(プラズマCVD法)においては、薄膜たとえば、層間絶縁膜、不動態化膜または反射防止膜は、1トル〜10トルの雰囲気中で、処理ターゲットである半導体基板を、50℃〜550℃の温度に予め加熱してある抵抗加熱ヒーターまたはその他のヒーターの上に置くことにより、半導体基板の上に堆積させる。そのヒーターは、反応ガスを放出するシャワープレートの反対側に設け、高周波電力をシャワープレートに印加して、ヒーターとシャワープレートとの間で高周波放電を起こさせて、それによりプラズマを発生させる。半導体基板の上への炭化ケイ素薄膜の堆積は、300W〜1,000Wの13.56MHz〜60MHzもしくは他の周波数の高周波電力、さらには、50〜200Wでの400kHzの低周波数電力をシャワープレートに印加して、ヒーターとシャワープレートの間でプラズマ放電を起こさせることにより実行される。この場合、シリコン絶縁膜を形成させるために4MS、3MS、1MSまたはその他の材料が使用されるが、その一方で、CO、O、NH、Nまたはアルコールを添加ガスとして使用して、放電の結果発生するプロセスガスを分解させる。
半導体デバイスでは、パターンがますます細密化されることが原因でRC遅延が大きくなるという問題を解決する目的で、通常使用されるAl線よりも耐熱性が高く、抵抗の低いCu線を使用している。デバイスの設計寸法が小さくなるにつれて、層間絶縁膜の誘電率が低くなり、130nmデバイスでは約3.4〜3.7の誘電率を有するSiOF膜を使用している。線材においても、抵抗の重要性が増していて、各メーカーはAl(アルミニウム)線からCu(銅)線へと移行させることによって抵抗を下げている。しかしながら、Cuは加熱下では顕著な拡散を起こしやすく、そのため、拡散をブロックするためのバリヤー膜が必要とされる。従来からの低誘電率膜(低k膜)は、Cu拡散のブロックに関しては性能が低いために、それらは効果的なバリヤー膜とはなりえない。この理由から、炭化ケイ素膜がバリヤー膜として使用されている。
バリヤー膜は、いくつもの要件を満たさなければならず、またそれらの要件をすべて満足させえないバリヤー膜は、満足のいくレベルで適用することが不可能である。炭化ケイ素膜の適切な厚みは、Cuが拡散していく領域を確認するためのCu拡散試験を実施することにより求める。配線層全体の誘電率を下げなければならないので、炭化ケイ素膜の中へのCuの拡散を抑制しながらも、炭化ケイ素膜を可能な限り薄く保つのが望ましい。デバイス性能の観点からは、耐熱性試験で必要とされる耐久性は、400℃の雰囲気で14時間である。Cuはさらに、電気を印加することによっても拡散するので、2種の原因となりうる因子すなわち熱と電気が適用される場合には、BTS試験を実施する。BTS試験に合格するということは、その試験をした膜が、Cu拡散ブロック膜としての機能的要件を満たしているということを示している。単純化した試験としては、Cuの上に堆積させた炭化ケイ素膜を含むサンプルを、400℃の雰囲気に4時間放置する。炭化ケイ素膜の中へのCuの拡散の程度が20nmを超えなければ、そのサンプルは許容と考えられる。
低い誘電率を有する絶縁膜が必要ということから、バリヤー膜もまた低い誘電率を有する必要があり、炭化ケイ素膜がバリヤー膜として採用されるようになってきた。100nmデバイスの場合、約2.9〜3.2の誘電率を有する低k膜が絶縁膜として使用されるが、その一方で、約4.5〜5.0の誘電率を有するSiCN、SiCOなどから作られた膜がバリヤー膜として使用される。
具体的には、バリヤー膜がCuの拡散をブロックするだけではなく、湿分の浸透もブロックして、湿分の吸収とその結果として起きる底層におけるCu線の酸化を防止することが重要なのである。これらの要件を考慮して、湿分の浸透への抵抗性を改良するために、純粋な炭化ケイ素膜にN、Oおよびその他の不純物を添加する。しかしながら、それらの不純物を添加すると、誘電率を4.0以下にまで下げることが困難となる。バリヤー膜で必要とされる誘電率が4.0以下であるような、65nmデバイスの場合には、多くの用途において、不純物を含まない炭化ケイ素膜が評価されている。しかしながら、その誘電率が低下すると、純粋な炭化ケイ素膜は、従来からのSiCNおよびSiCO膜に比較して、経時的な安定性が顕著に低下する。最も困難な問題となるのは、湿分の浸透のためにCuの表面の酸化が加速されることであり、このことは、デバイスの応用においては致命的である。一つの判りやすい説明としては、その膜の密度が1.1〜1.3g/cmと低いことで、それに比較してSiCNやSiCO膜では1.8〜2.0g/cmである。さらに注目すべき点が他にもあって、このように密度が低いために、Cuの表面を還元させるためのNH(アンモニア)処理が、レジストパターンの形成において問題を起こす可能性がある。それらの欠点を改良する目的で、湿分の浸透に対して抵抗性がありまた比較的高い誘電率を有する膜を、バルクのバリヤー膜の上下両方の被覆膜として使用することが、時に行われる。しかしながら、デバイスにおいて使用されるバリヤー膜は10〜50nmの薄い厚みでなければならないので、バリヤー膜の上下に被覆膜が存在すると、その被覆膜を薄く保つために必要な調節条件のためにエッチング処理が複雑となり、また多層構造となるために誘電率も高くなるであろう。その一方で、安定性を確保するための一つの重要な因子は、膜から遊離結合(free bond)をなくすことである。したがって、SiCNおよびSiCO膜に不純物を添加する場合、安定性が得られるように不純物を添加する必要がある。4MSおよび3MSから製造される従来からの炭化ケイ素膜でもまた問題があって、たとえばSiCOおよびSiCNを用いては低誘電率を達成することが困難であり、プロセスマージンも狭い。
その一方で、SiONベースの膜が、リソグラフィのための反射防止膜として、これまで使用されてきた。主流となっているリソグラフィ波長が248nmであったので、必要とされる膜品質は、リソグラフィの規格と底層に使用される膜によって決まっていた。別の言い方をすれば、それらの因子から求められるn(屈折率)とk(吸光係数)が重要であった。波長が248nmの場合には、レジストに対する制限が少なく、したがって反射防止膜に対する制限が少なかった。
しかしながら、時代が変わって、リソグラフィに使用される波長もまた、248nmから193nmに変化し、それに付随して、処理構成においても顕著な変化が伴った。リソグラフィ波長がこのように短くなることで、レジスト材料に変化が起き、究極的には、レジストの劣化によるレジスト改質のような新しい各種の問題が出現することが予想される。
一つの実施態様においては、比較的に高い膜密度を維持しながら、低い誘電率を有する酸素含有炭化ケイ素膜を形成させることを目的とする。一つの実施態様においては、優れた湿分の浸透ブロッキング性を有する酸素含有炭化ケイ素膜を形成させることを目的とする。一つの実施態様においては、酸化性ガスを使用せず、そのため銅の層の上に直接堆積させることが可能な酸素含有炭化ケイ素膜を形成させることを目的とする。一つの実施態様においては、優れたバリヤー膜を形成させることを目的とする。一つの実施態様においては、フォトリソグラフィのための優れた光学的性質を有する酸素含有炭化ケイ素膜を形成させることを目的とする。一つの実施態様においては、優れた反射防止膜を形成させることを目的とする。一つの実施態様においては、酸素含有炭化ケイ素膜の誘電率と膜密度との関係を調節するための方法を提供することを目的とする。一つの実施態様においては、バリヤー膜としての改良された特性を有する、酸素および窒素含有炭化ケイ素膜を形成させることを目的とする。一つの実施態様においては、たとえばSiCNのような下側層に対する優れた接着性を有する酸素含有炭化ケイ素膜を形成させることを目的とする。
本発明の一つの態様には、反応空間に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法が含まれていてよい。上述の目的の一つまたは複数を達成可能とする実施形態においては、その方法には以下の工程が含まれる:(i)Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を含む前駆体を、反応空間の中に導入する工程;(ii)その反応空間に不活性ガスを導入する工程;(iii)その反応空間にRF電力を印加する工程であって、ここで、不活性ガスの流量(sccm)のRF電力(W/cm)に対する比率がゼロを超え約850以下(たとえば、30〜850)に調節される工程;および(iv)それにより、基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を堆積させる工程。
上述の目的の一つまたは複数を達成可能とするまた別な実施態様においては、その方法には以下の工程が含まれる:(i)Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を含む前駆体および不活性ガスを、反応空間の中に導入する工程;(ii)その反応空間にRF電力を印加する工程;および(iii)堆積する炭化ケイ素膜の誘電率(k)および密度(ρ、g/cm)を次式を満足するように調節しながら、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を基板の上に堆積させる工程:
1.6・ρ≦k≦1.6・ρ+1.0
本発明のまた別な態様には、次式の関係を満たす誘電率(k)および密度(ρ、g/cm)を有する炭化ケイ素膜が含まれていてよいが、
1.6・ρ≦k≦1.6・ρ+1.0
ここで、上述の目的の一つまたは複数を達成することが可能である。
本発明および従来技術に勝る利点を要約する目的で、本発明のある種の目的および利点を述べてきた。言うまでもないことであるが、そのような目的や利点がすべて、本発明の具体的な実施形態のいずれにおいても必ず達成される訳ではない、ということは理解されたい。したがって、たとえば、本明細書に教示される一つまたはいくつかの利点を達成または最適化されるが、本明細書に示唆された他の目的物または利点は必ずしも達成されない、というやり方で本発明を具現化または実施することが可能である、ということは、当業者のよく理解するところであろう。
本発明のさらなる態様、特徴および利点は、以下の好適実施形態の詳細な説明から、明らかになるであろう。
ここで、本発明のこれらおよびその他の特徴を、好適実施形態の図面を参照しながら説明するが、それらは本発明を説明するためのものであって、本発明を限定するものではない。図面は、説明の目的で過度に単純化されており、縮尺通りではない。
低誘電率を達成しながらも、炭化ケイ素膜の密度をある程度の範囲内に維持するため、または膜の密度に対して炭化ケイ素膜の誘電率を低下させるため、または膜密度を上げたときの誘電率の上昇を抑制するためには、適切な材料と添加物とを選択して、膜の中の結合を安定化させる必要がある。本発明の一つの実施形態においては、その分子内に少なくとも1個のSi−O結合を含む原料ガスを使用し、さらに、その不活性ガスの流量のRF電力に対する比率(これらはいずれも、化学反応には直接寄与しないパラメーターである)を特定の範囲に調節することによって、上述の目的が達成される。このようにして形成される炭化ケイ素膜は、他の低k膜とは違って、すぐれたCu拡散ブロッキング効果を示す。一つの実施形態においては、膜の厚み方向へのCuの20nmを超える、好ましくは10nmを超える拡散および浸透を防止して、検出限界以下とすることができる。一つの実施形態においては、こうして得られた膜が、低−k膜の形成に使用されたものと同様の材料からなってはいるものの、低い誘電率と高い膜密度を示す(そのために、Cu拡散ブロッキング機能が得られる)のに対して、同じ誘電率または同等の誘電率を有する低k膜では、そのようなCu拡散ブロッキング機能が得られない、ということが確認された。
ここで、本発明に適合する炭化ケイ素膜には、不純物、特に酸素が含まれる(窒素も含まれていてよい)。不純物を含むこの炭化ケイ素膜は、すぐれたCu拡散ブロッキング効果ならびに湿分の浸透へのすぐれた抵抗性を示す。そのような炭化ケイ素膜は、バリヤー膜としてだけではなく、反射防止膜としても有効である。
先に説明した目的の少なくとも一つを達成するために、一つの実施形態においては、容量結合プラズマ発生器を使用し、一般式Si(式中、a、bおよびcは任意の整数である)で表されるシリコン炭化水素化合物の原料ガスを使用することにより、改良された湿分の浸透への抵抗性、より高い密度、およびより低い誘電率を与えるバリヤー膜を得るが、ここで、その原料ガスには、Si−CH結合で構成される1MS、3MSまたは4MSとは異なって、SiおよびCHの間に酸素基(O基)結合が組み入れられている。このようにして、安定な高密度膜を形成することができる。上述の実施形態においては、酸化剤を必要としないが、その理由は、原料にSi−O結合が含まれていて、それが膜の安定性を改良するからである。一つの実施形態においては、希釈ガスの高周波電力に対する比率(それらはいずれも、プロセスパラメーターである)を、(4:1)から(1:6)まで(不活性ガス(sccm):高周波電力(W))の範囲内、または、(1257:1)から(52:1)までの範囲内(不活性ガス(sccm):基板の単位面積あたりの高周波電力(W/cm))の範囲内に調節するが、その理由は、この比率が、密度上昇のための性質に影響するからである。一つの実施形態においては、高密度および改良された湿分の浸透への抵抗性をうまく達成することによって、NH処理の際に窒素基(N基)が膜の中へ浸透してくるのを抑制できるようになり、それによって、レジスト改質の問題が改良される。一つの実施形態においては、密度が高くなることによって、絶縁膜に関してのエッチング選択性が向上することになり、それによってダマシン構造のエッチング処理が容易となる。安定性と選択性をさらに改良しなければならない場合には、膜に窒素基(N基)を添加することによって、それを達成することができる。
パターニングに関して、248nmから193nmへのリソグラフィ波長の変化とレジストパターンにおける多層構造への移行が、レジスト改質が原因で形成されるレジストパターンにおける問題への関心を強めている。一つの実施形態においては、従来からのSiCN反射防止膜からの影響をさけるために、窒素基(N基)を含まないSiCO膜が提供される。具体的には、VFTL(ビア・ファースト・トレンチ・ラスト)などをベースとするビア・エッチング処理において、193nmの波長を有するArFを用いてリソグラフィを実施する場合には、レジストと直接接触している反射防止膜の中にN基が含まれていると、レジストパターンの解像度が不十分となる可能性がある。この場合には、N基を含まない炭化ケイ素膜を使用する。一つの実施形態においては、193nmのリソグラフィ波長では、nについては1.4〜2.0、kについては0.10〜0.45の光学定数が達成され、それによって、その膜は必要とされる屈折防止機能を満たす。ここで、これらの数値は、たとえば基礎の中のエッチングストッパー膜のような特定の規格から得られる光学定数から求められるものであるが、nおよびkが上述の範囲の中にさえあれば、その膜は、必要とされる屈折防止機能を満たすことが可能である。
従来のSiCO膜の場合には、Cuの上に膜を直接堆積させるのは困難であったが、その理由は、4MS、3MS、1MSまたはその他類似の原料ガスを使用すると、薄膜堆積プロセスの際に、たとえばOまたはCOのような酸化性物質を使用することが必要となるからである。湿分の浸透に対する抵抗性を改良するためには、SiCNの薄膜を堆積させた後で、SiCOを堆積させる。この場合、トータルの誘電率が高くなる可能性があり、また連続的な膜堆積プロセスが必要とされる。さらに、その誘電率が低下するために、SiCO膜のSiCN膜に対する接着に関しての問題が表面化することもあるであろう。本発明の一つの実施形態においては、少なくともそれらの問題を改良することが可能である。
以下において、好適実施形態に関連させて本発明を説明する。しかしながら、それらの好ましい実施形態は、本発明を限定することを目的としたものではない。条件および/または構造を特定していない本発明の開示においては、当業者ならば、本発明の開示を読むことにより、日常的な経験から、そのような条件および/または構造を容易に定めることが可能であろう。
一つの実施形態においては、反応空間の中に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法には以下の工程が含まれる:(i)その反応空間の中に、Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を含む前駆体を導入する工程、;(ii)その反応空間に不活性ガスを導入する工程;(iii)その反応空間にRF電力を印加するが、ここで、前記不活性ガスの流量(sccm)の、RF電力(W/cm;基板の単位面積あたりのW)に対する比率を、たとえば約30〜約850(50、100、200、300、400、500、600、700、およびそれらの二つの数字の間の値を含み、好ましくは30〜550)に調節する工程;および(iv)それにより、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を前記基板の上に堆積させる工程。
上述の実施形態には、以下の実施形態が含まれるが、これらに限定される訳ではない:
不活性ガスの流量のRF電力に対する比率を調節することにより、堆積してくる炭化ケイ素膜の誘電率(k)と密度(ρ、g/cm)が次式を満足するように合わせることが可能である:
1.6・ρ≦k≦1.6・ρ+1.0
この式は、次のように表すこともできる:(1.6・ρ+a)≦k≦(1.6・ρ+b)、ここで0≦a;b≦1.0;a≦bである。上記において、一つの実施形態においては、aは、0.1、0.2、0.3、0.4、0.5、または前述の各種二つの数字の間の値であり、bは、0.5、0.6、0.7、0.8、0.9、または前述の各種二つの数字の間の値である。上述のaとbをどのように組み合わせても使用できる。たとえば、aが0.2でbが0.8でもよいし、aが0.3でbが0.7であってもよい。上記において、不等号は誘電率と密度がある範囲の中に入る場合には成立するが、それについては当業者は容易に理解するであろうし、また以下においても説明する。
炭化ケイ素膜はその密度の割には、比較的に低い誘電率を有している。別の言い方をすれば、その炭化ケイ素膜が、従来からの炭化ケイ素膜と同程度の密度を有しているならば、その誘電率は、従来からの炭化ケイ素膜の誘電率よりも低い。その分子内に少なくとも1個のSi−O結合を含む前駆体を使用し、上記の比率を調節することによって、密度を低下させることなく、その堆積膜の化学構造を安定化させることが可能である。得られる膜は、すぐれた湿分の浸透防止性能、銅拡散ブロッキング性能、および/または193nmにおけるすぐれた屈折率(n)および吸光係数(k)を示すことができる。堆積する炭化ケイ素膜は、4.5未満、好ましくは4.0以下(たとえば、2.7〜4.0、2.8〜3.8)の誘電率を有することができる。堆積する炭化ケイ素膜は、2.4g/cm未満、好ましくは2.2g/cm以下(たとえば、1.4〜2.0g/cm)の密度を有することができる。
一つの実施形態においては、反応空間の中において、RF電力を、0.1〜10W/cm(0.3W/cm、1W/cm、3W/cm、6W/cm、9W/cm、および前述の各種二つの数字の間の値を含み、好ましくは、0.3〜7W/cm、1〜5W/cm)で印加するのがよく、不活性ガスを、20〜1,500sccm(50sccm、100sccm、500sccm、1,000sccm、および前述の各種二つの数字の間の値を含み、好ましくは100〜1,100sccmまたは1,100sccm未満)の流量で導入するのがよい。一つの実施形態においては、その不活性ガスは、He、Ar、Kr、およびXeからなる群より選択することができる。また別な実施形態においては、窒素ガスたとえばNを不活性ガスとして使用したり、あるいは窒素供給性のガスを添加したりして、炭化ケイ素膜の中にNを導入して、その膜が、その流量に応じて、Si、C、O、HおよびNを含むようにすることができる。一つの実施形態においては、窒素ガスの流量は、20〜3,000sccm(50sccm、100sccm、500sccm、1,000sccm、2,000sccm、および前述の各種二つの数字の間の値を含み、好ましくは100〜2,000sccm)とすることができる。上述の不活性ガスは、どのように組み合わせても、あるいは単独で使用してもよい。
一つの実施形態においては、RF電力が高周波数と低周波数とを有していてもよいが、前記高周波数とは13.56MHz、27MHz、または60MHzであり、前記低周波数とは5MHz以下(たとえば、400kHz、430kHz)である。一つの実施形態においては、高周波RF電力のみを使用してもよい。低周波RF電力の高周波RF電力に対する比率は、0から1まで、たとえば、(1/99)から(49/51)まで;(5/95)から(20/80)までの範囲とすることができる。一つの実施形態においては、不活性ガスの流量のRF電力に対する比率におけるRF電力が、高周波RF電力であってもよい(低周波RF電力をその比率の計算に用いない)。
その方法にはさらに、炭化ケイ素膜を形成させる工程より前に銅層を形成させる工程が含まれていてもよく、ここで、炭化ケイ素膜は銅層の上にバリヤー層として形成されるが、そのバリヤー層は、優れた湿分の浸透防止性能(たとえば、湿度75〜85%、温度120℃の環境に10時間保持した後のFT−IR測定で、Si−OHピークが全面積の0.15%未満である)、および優れた銅拡散ブロッキング性能(たとえば、炭化ケイ素膜を銅層の上に堆積させ、400℃で4時間保持した後に測定して、銅の浸透深さが20nm以下である)を有することができる。
別な方法として、その方法にはさらに、炭化ケイ素膜を形成させる工程の前に、フォトリソグラフィにおける犠牲膜を形成させる工程が含まれていてもよく、ここで、その犠牲膜の上に炭化ケイ素膜を反射防止層として形成させるが、その反射防止層は193nmにおいて、ある実施形態においては1.1〜2.3の、ある実施形態においては好ましくは1.4〜2.0の屈折率(n)を有し、また、ある実施形態においては0.08〜0.60の、ある実施形態においては好ましくは0.10〜0.45の吸光係数(k)を有することができる。
一つの実施形態においては、炭化ケイ素膜は、ある実施形態においては5〜150nm、好ましくは、ある実施形態においては10〜50nm、またはある実施形態においては15〜100nmの厚みとすることができる。
一つの実施形態においては、前駆体ガスは一般式Siを有する有機ケイ素ガスであってよいが、ここでa、b、x、およびyは任意の整数である。有機ケイ素ガスは、式Siαα−12α−β+2(OR’)βを有するシリコン含有炭化水素化合物であってよいが、ここでαは1〜3の整数であり、βは0、1、または2であり、nは1〜3の整数であり、RはSiに結合したC1〜6炭化水素であり、R’はSiに結合していないC1〜6炭化水素である。R’はC2n+1であってもよいが、ここでnは1〜3の整数である。いくつかの実施形態においては、分子の中に少なくとも1個のSi−O結合を含むシリコン含有炭化水素化合物には次式のものが含まれるが、これに限定される訳ではない:
Figure 2008042208
(式中、R、R、RおよびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);
Figure 2008042208
(式中、R、R、RおよびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);
Figure 2008042208
(式中、R、R、R、R、R、およびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);および
Figure 2008042208
(式中、R、R、R、R、R、およびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである)。
実施形態においては、前駆体は以下の特許に開示されているものから選択することができる:米国特許第6,881,683号明細書、米国特許第6,514,880号明細書、米国特許第6,352,945号明細書、米国特許第6,383,955号明細書、および米国特許第6,432,846号明細書(これらの特許のすべてについて、それらの全体を参考として引用し本明細書に組み入れるものとする)。
上述の式のいずれかで表される前駆体の1種または複数を使用することができる。たとえば、ジメチルジメトキシシラン(DMDMOS、SiO12)、ジエチルジエトキシシラン(DEDEOS、SiO20)、フェニルトリメトキシシラン(PTMOS、SiO14)、1,3−ジメトキシテトラメチルジシラン(DMOTMDS、Si18)、およびヘキサメチジシラン(HMDS、SiOC18)などが挙げられる。一つの実施形態においては、Si−O結合を有する環状シリコン含有炭化水素化合物を使用することも可能である。しかしながら、Si−O結合、より好ましくはO−Si−O結合を有する直鎖状のシリコン含有炭化水素化合物を実施形態において使用するのが好ましい。
前駆体ガスの流量は、25〜1,000sccm(50sccm、100sccm、200sccm、500sccm、および前述の各種二つの数字の間の値を含み、好ましくは50sccm〜400sccm)とするのがよい。一つの実施形態においては、前駆体ガスの流量は、不活性ガスの流量を超えない(たとえば、その不活性ガスの流量の10%、20%、40%、60%、80%、および前述の各種二つの数字の間の値)のがよい。
一つの実施形態においては、本発明の方法には、ある種の特性を必要とする、得られる薄膜の意図する目的に合わせて、CO、H、C2n+2(nは、1〜5の整数である)、C2n(nは、1〜5の整数である)、および/またはC2n+1O(nは、1〜5の整数である)のガスからなる群より選択される、添加ガスを導入する工程がさらに含まれていてもよい。一つの実施形態においては、C6−n(nは、0、1、2、または3であり、Rは、−CH、−C、−CH=CHの一つである)を添加して、誘電率を2.8〜3.2の範囲に低下させることもできる。
前駆体ガスを導入するときに、たとえば、C、C、C、C、C、C(6−a)(aは、0〜6の整数であり、Rは、C1〜6アルキルである)、C(OH)、またはC(OH)を反応空間の中に導入すると、Siの不安定な結合や末端をさらに安定化することができる。それらのガスは典型的には、Siに結合される酸素を供給する酸素供給性ガスとして機能することはなく(すなわち、そのガスの分子に酸素が含まれていたとしても、その酸素は得られる膜の基本構造の形成にはほとんど関与しない)、あるいは架橋性ガスとして機能することもない(すなわち、そのガスの分子が、得られる膜の基本構造の形成にはほとんど関与しない)。一つの実施形態においては、その添加ガスは、前記前駆体ガスの流量よりは少ない流量で導入するのがよい。
一つの実施形態においては、前駆体に対して酸化性ガスを全く添加しなくてもよいが、その理由は、その前駆体は、その構造の中に少なくとも1個のSi−O結合を含んでいるからである。その前駆体と不活性ガスとだけを反応空間の中に導入する。
一つの実施形態においては、反応の平均温度を、50℃〜550℃の範囲、または300℃〜450℃の範囲、または370℃〜430℃の範囲、またはそれらの各種組合せとすることができる。一つの実施形態においては、反応空間の圧力を400〜900Paの範囲とすることができる。
炭化ケイ素膜には酸素がドープされている。酸素含量は、5%〜30%(10%、15%、20%、25%、および前述の各種二つの数字の間の値を含む)とするのがよい。炭化ケイ素膜にはNがさらに含まれていてもよい。炭化ケイ素膜を、Cu拡散をブロックキングするためのバリヤー膜とすることもできる。
シリコン基板と上側電極との間の空間は、0.014m未満(0.012m、0.010m、0.008m、0.006m、および前述の各種二つの数字の間の値を含む)とするのがよい。
基板がビアを有していたり、および/またはトレンチが形成されたりしていてもよく、ここで、炭化ケイ素膜がそれらのビアおよび/またはトレンチの表面に形成される。基板が露出されたCu層を有していて、その上に炭化ケイ素膜が形成されてもよい。酸素供給性ガスを使用しない場合には、Cu層の上に炭化ケイ素膜を直接堆積させることも可能である。
上述の実施形態のすべてにおいて、実施形態において使用された素子はすべて、他の実施形態においても、相互に置き換えたり他の素子と組み合わせて付加的に使用したりすることが可能であるが、ただし、そのような置き換えまたは追加が困難であったり、悪影響の原因となる場合は除く。
また別な実施形態においては、反応空間の中に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法には以下の工程が含まれていてよい:(i)反応空間の中に、Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を含む前駆体、および不活性ガスを導入する工程;(ii)その反応空間にRF電力を印加する工程;ならびに(iii)堆積してくる炭化ケイ素膜の誘電率(k)および密度(ρ、g/cm)が下記の式を満足させるように調節しながら、その基板の上に、Si、C、0、H、および場合によってはNを含む炭化ケイ素膜を堆積させる工程:
(1.6・ρ+a)≦k≦(1.6・ρ+b)
ここで、a=0〜0.5、b=0.5〜1.0である。
先に説明した実施形態はすべて、上記のことに当て嵌めることができる。さらに、本発明は、上述の式を満足させる誘電率(k)および密度(ρ、g/cm)を有する炭化ケイ素膜にも、同様に関連する。先に説明した実施形態はすべて、上記のことに当て嵌めることができる。
本発明の実施形態における膜形成工程は、図1に示されたプラズマCVD装置を使用して実施することが可能である。そのプラズマCVD装置には、リアクタ1、上側電極2、下側電極3、ガス入口ポート6、およびRF導入部分7が含まれている。その上側電極2と下側電極3は互いに向かい合わせに平行に配されていて、それらそれぞれの中に埋め込まれたヒーターによって加熱される。被処理体である半導体基板5を、下側電極3の上に置き、加熱保持する。上側電極2の下部表面の上に、複数の微細な孔が設けられていて、そこから、ガス入口ポート6から供給されたガスのジェット流が噴出される。RF電力は、RF発生器4から供給されるが、ガス入口ポート6およびRF導入部分7は電気的に絶縁されている。
高周波電力と低周波電力の組合せまたは単一の周波数電力の下で、リアクタへ導入されるプロセスガスによって発生されたプラズマを使用して、処理ターゲットである半導体の上に炭化ケイ素膜を堆積させる。
シリコン炭化水素としては、特にDMDMOS、HMDSO、DMOTMDSなどを使用することができる。
希釈ガスは、N、He、Ar、Kr、Xe、またはそれらの各種組合せであってよい。それらのガスは、それぞれ異なったレベルのイオン化エネルギーや衝突断面積を有しているために、気相において起きる反応は、ガスの変更またはガスの組合せの変更により調節することができる。
半導体基板の上に絶縁膜を堆積させた後、半導体基板をリアクタの中に保持したままで、リアクタの内部のガスを排気ポート8から排出させ、それによって、リアクタの中のガスを、還元性ガス、もしくは還元性ガスと不活性ガスとからなる混合ガスと置換する。得られたSiCOまたはSiCON炭化ケイ素膜は、4MSを用いて堆積させた従来からの膜に比較して、より高い膜密度と、より低い誘電率とを与える。具体的には、一つの実施形態においては、得られた膜が、2.8〜3.8の誘電率と1.4〜2.0の膜密度とを有しているが、それに対して、従来からの4MS膜の誘電率と膜密度は、それぞれ4.3〜4.8、および1.8〜2.0である。それらの二つの膜の間では、膜密度はほぼ同等ではあるが、誘電率は炭化ケイ素膜の場合の方が顕著に低い。
誘電率の低下が原因の密度の低下は、特定の前駆体を使用することによって抑制することができる。さらに、不活性ガスと高周波電力は、密度調節とは顕著な関係を有する、二つのパラメーターである。不活性ガスの流量(sccm)の基板の単位面積あたりの高周波電力(W/cm)に対する比率が、低誘電率と高密度を達成するためには重要である。この比率を20〜1250の範囲、好ましくは30〜850の範囲、より好ましくは50〜600の範囲に維持することによって、所望の結果を達成することが可能となる。代表的な実施形態においては添加ガスを使用しないが、添加ガスを使用した場合にもまた、上述の比率が適用される。
一つの実施形態においては、リアクタの中に導入するプロセスガスと、RF放電により発生するプラズマによって、半導体処理体上に低誘電率構造物を形成させる。この膜は、UV硬化プロセスのような、それに続けての硬化プロセスを使用しなくても、完成させることができる。必要に応じて、それに引き続き、図2に示したUV硬化装置を用いて硬化プロセスを実施して、それにより、炭化ケイ素膜の所望の性質をさらに改良することも可能である。
リアクタの内側に半導体を保持して、その半導体基板の上に、炭化ケイ素膜を形成させたら、リアクタの内側に存在するガスは、排気ポート8から排出させる。
次いで、一つの実施形態においては、図2に示したようなUV硬化装置を用いて、そのリアクタから取り出した半導体基板に、硬化プロセスを実施することができる。そのUV硬化装置には、チャンバー11、UVランプ12、サセプター13、および排気ポート14、ならびにガス入口ポート15が含まれる。UVランプとサセプター13を平行に配して、それらそれぞれの中に埋め込まれたヒーターによって加熱する。被処理体である、半導体基板16をサセプター13の上に置き、加熱して保持する。その波長範囲を172〜250nmから選択したUVランプから投射された光を、半導体基板16に照射させる。半導体基板を照射するときに、He、H、N、CO、などのようなガスをガス入口ポート15を通して導入する。低誘電率構造物からH、O、Cなどが脱着されると共に、膜の中に細孔が形成されるが、不安的な結合はもはや存在せず、そのために、より低い誘電率と、より高い強度を有する膜を得ることが可能となる。
UV硬化装置としては、本発明の譲受人に譲渡された米国特許出願第11/040,863号に開示されているような装置を使用することができる(その特許の開示を、そのすべてについて、参考として引用し本明細書に組み入れることとする)。
紫外光線処理の一つの実施形態においては、チャンバーの内側の圧力を、Ar、He、Kr、Ne、N、Xeから選択されるガスを用いて約0.1トルのほぼ大気圧程度に設定し(一つの実施形態においては、ガス流量は約0.1sccm〜約20slm、好ましくは約500sccm〜約1000sccmから選択されるが、好ましくは酸化性ガスを存在させない)、その温度を約0℃〜約650℃に設定したヒーターの上に基板を置き、適切な距離をおいて配置された、紫外光線発光体から発生させた、波長が約100nm〜約400nmで、出力が約1mW/cm〜約500W/cm、好ましくは約1mW/cm〜約50mW/cm、より好ましくは約3mW/cm〜約10mW/cmの紫外光線を連続的または約0Hz〜約1000Hzの周波数のパルス方式で、ヒーターをその中心で回転させながら、半導体基板の上に形成された薄膜に照射する。
以下において、好ましい実施例に関連させて本発明の実施形態を説明する。しかしながら、それらの好ましい実施例は、本発明を限定することを目的としたものではない。当業者ならば、本発明の開示を読むことにより、日常的な実験として、ガスおよび/または条件を容易に修正することが可能である。
下記に従って実験を行った。これらの実験においては、実験装置として、通常のプラズマCVD装置(EAGLE−10(商標)、日本エー・エス・エム(株)製)を使用した。
(実施例1)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:500sccm
27.12MHzにおける電力:400W(1.2W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:3.9(200nm)
リーク電流(2MV/cm):1.59E−10
耐圧:6.9MV/cm
弾性率:70GPa
膜密度:2.2g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=393
(実施例2)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:400sccm
27.12MHzにおける電力:400W(1.2W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:3.8(200nm)
リーク電流(2MV/cm):3.12E−10
耐圧:7MV/cm
弾性率:65GPa
膜密度:2.05g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=314
(実施例3)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:300sccm
27.12MHzにおける電力:400W(1.2W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:3.6(200nm)
リーク電流(2MV/cm):2.77E−10
弾性率:55GPa
膜密度:1.9g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=236
(実施例4)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:600sccm
27.12MHzにおける電力:400W(1.2W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:3.98(200nm)
リーク電流(2MV/cm):4.12E−10
弾性率:89GPa
膜密度:2.3g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=471
(実施例5)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:200sccm
27.12MHzにおける電力:300W(0.9W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:3.5(200nm)
リーク電流(2MV/cm):3.1E−10
弾性率:50GPa
膜密度:1.8g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=209
(実施例6)
膜厚:50nm
サセプター温度:390℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:100sccm
27.12MHzにおける電力:400W(1.2W/cm
430kHzにおける電力:100W(0.3W/cm
誘電率:2.9(200nm)
リーク電流(2MV/cm):5.0E−10
弾性率:20GPa
膜密度:1.5g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=79
(実施例7)
膜厚:50nm
サセプター温度:430℃
DM−DMOS流量:100sccm
圧力:630Pa
He流量:1000sccm
27.12MHzにおける電力:900W(2.8W/cm
誘電率:3.85(200nm)
リーク電流(2MV/cm):2.00E−10
耐圧:7MV/cm
弾性率:55GPa
膜密度:2.1g/cm
193nmにおけるnおよびk:1.8(n)、0.15(k)
不活性ガス流量(sccm)/高周波電力(W/cm)=349
(実施例8)
膜厚:50nm
サセプター温度:430℃
DMDMOS流量:100sccm
圧力:800Pa
He流量:100sccm
27MHzにおける電力:500W(1.5W/cm
430kHzにおける電力:50W(0.1W/cm
誘電率:2.75
リーク電流(2MV/cm):2.0E−9
耐圧:6.0MV/cm
弾性率:25GPa
膜密度:1.4g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=63
(比較例1)
膜厚:50nm
サセプター温度:430℃
DMDMOS流量:100sccm
圧力:800Pa
He流量:3000sccm
27MHzにおける電力:500W(1.5W/cm
430kHzにおける電力:50W(0.1W/cm
誘電率:5
リーク電流(2MV/cm):7.0E−10
耐圧:6.0MV/cm
弾性率:100GPa
膜密度:2.4g/cm
不活性ガス流量(sccm)/高周波電力(W/cm)=1,885
(比較例2〜6)
比較例(従来技術の例)2〜6においては、下記の表1に示した膜堆積条件下で炭化ケイ素膜を堆積させ、得られた膜の誘電率と密度を測定した。得られた膜は、比較例2においてはNを含むSiC膜、比較例3においてはNを含むSiC膜、比較例4においてはNを含むSiC膜、比較例5においてはOを含むSiC膜、そして比較例6においてはSiC膜であった。それらの結果を表2に示す。
Figure 2008042208
Figure 2008042208
実施例1〜7により得られた炭化ケイ素膜それぞれの誘電率(k)および膜密度(ρ)の関係を図7に示す。図から判るように、膜密度が高いほど誘電率も高くなり、それら二つ間には、実質的に正比例の関係が存在するかのように見える。実施例1〜7から得られる誘電率と膜密度との間の関係式は次式で表される:
k=1.591・ρ+b
ここで、bは0.523(±0.3)の定数である。
グラフの中のグレーの帯域は、次式の範囲を示している:
(1.6・ρ+a)≦k≦(1.6・ρ+b)
ここでa=0、b=1.0である。
実施例1〜7によって得られた膜の場合、この帯域は、aおよびbがそれぞれ約0.4および0.6である場合(図示せず)よりも、狭い。その一方で、メチルシランの従来からの物質を使用した、比較例2〜6によって得られた炭化ケイ素膜では、その誘電率(k)と膜密度(ρ)との関係は、上述の範囲からは外れていた。これらの結果から、その膜密度が実施例で達成されたレベルとほぼ同レベルである場合には、その誘電率が顕著に高くなることが判る。図7から、この実施形態では、高密度かつ低誘電率を与える膜が得られたことが明らかである。さらに、上述の関係式における勾配(1.591)は、比較例によって得られた膜の場合よりも、実施例で得られた膜の場合の方が小さい。したがって、密度の変化に対する誘電率の変化は、実施例によって得られた膜の方が小さく、比較例との差は歴然としている。
比較例1によって得られた膜の場合、その関係は上述の範囲(誘電率(k):5、膜密度(ρ):2.4)からは外れていた(それらのデータは図7にはプロットしていない)。誘電率では、その所望のレベルが4.5以下、好ましくは4.0以下であるので、上述の誘電率(k)と膜密度(ρ)との関係から、その膜密度を2.2以下に維持するのが理想的である。
図8には、不活性ガス(sccm)の、高周波電力(W/cm)、誘電率(k)、および膜密度(ρ)に対する比率の関係を示している。図から判るように、実施例1〜7によって得られた膜の場合、誘電率(k)および膜密度(ρ)を、上述の比率を調節する手段によって調節することが可能である。さらに、実施例1〜7によって得られた膜の場合、上述の比率が約500以下であったのに対して、他の実施形態においては、その比率を、1250以下、好ましくは850以下に調節することが可能である。比較例6によって得られた膜の場合その比率が863であったが、その膜は不純物を含まないSiC炭化ケイ素膜であって、そのために本明細書で試験をした他の膜とは異なっている。比較例5によって得られたSiCO膜の場合、その比率が1309となったが、これは、実施例に適用される、または他の実施形態において特定される調節範囲の外側である。比較例1〜5によって得られた膜は、さらに高い比率となっていて、実施例に適用される、または他の実施形態において特定される調節範囲からさらに外れている。その結果、図7において説明したように、膜密度(ρ)に対して、誘電率(k)が高いものとなった。図8はさらに、実施例によって得られた膜の場合、その誘電率が、膜密度に対して顕著に低くなったことを示している。(実施例によって得られた膜の場合、膜密度と誘電率の相対的な位置は、図8におけるグラフにおいて顕著に離れていて、誘電率が一段と低くなる傾向がある。)
この実施形態においては、Si−O結合を含む物質を使用したことによって、この構造が直接的に膜の中に組み入れられることになり、そのため、Oのような添加ガスや、O、COまたはNOのような酸化剤を使用しなかった、と推測される。その一方で、メチルシランおよび酸化性ガスから形成されたSi−O結合は、分解反応の結果の産物であり、そのため、この結合を含む膜の密度は、低くなる傾向がある。したがって、低密度においては、この実施形態の場合よりは、誘電率が低下しない。このことは、従来の方法よりも、この実施形態の方が利点があることを示している。
図3は、実施例1〜7ならびに比較例1、3、5および6によって得られた膜の湿分の浸透を示すものであって、FT−IR分析によって得られたSi−OHピークによって示している。OHピークが小さいほど、湿分の浸透がより抑制されたことを示している。湿分の浸透のこの評価方法においては、膜を、120℃、湿度75〜85%の雰囲気中に10時間保持し、その後で、FT−IR分析によってSi−OHピークを測定した。
湿分の浸透についての評価結果から、本発明に合致する膜は、従来からのSiCNおよびSiCO膜を用いて達成されるレベルよりも良好または少なくとも同等の湿分の浸透への抵抗性を示した(OHピークを基準にして0.2%未満)。ここで、実施例によって得られた炭化ケイ素膜が、SiCN膜およびSiCO膜よりも低い誘電率を有しているために、それらの湿分の浸透への抵抗性は、比較例によって得られる膜よりも明らかに良くなっている。具体的には、湿分の浸透への抵抗性においてそれらが従来からのSiC膜よりも優れていることは、議論の余地もない。純粋なSiC膜において湿分浸透が最悪となることから判断して、純粋なSiC膜を使用しようとするならば、窒素基または酸素基を添加する必要があるということが考えられる。
Cu拡散ブロッキング試験においては、その上にCuを予め堆積させたあるウェーハの上に炭化ケイ素膜を50nmまでの厚みで堆積させ、その後で、得られた炭化ケイ素膜を400℃の雰囲気下に4時間静置し、20nm以下の判定基準をベースとして、Cu拡散の合否を評価した。図4〜6に、SIMSによって測定したCuの拡散状態を示す。
図4に、3.1の誘電率を有する、従来からの低誘電率の絶縁膜についての結果を示す。Cuが検出限界をはるかに超えているので、その低誘電率の絶縁膜の中にCuが拡散したに違いない。図5は、4.8の誘電率を有する従来からのSiCN系のCu−拡散ブロック膜(比較例3によって得られた膜と同等)を適用したものである。Cuが検出限界未満であるので、この膜はCuの拡散をブロックしていた。図6には、実施例3によって得られたSiCO膜についての結果を示す。SiCN膜の場合と同様に、Cuは検出限界未満であり、その膜はCu−拡散ブロック膜としての充分な機能を果たしていた。
まとめると、実施形態においては、約30nmの薄膜をバリヤー膜として使用することも可能であって、配線層全体にわたる全誘電率すなわちKeffectを低下させることができる。本発明は、45〜32nmデバイスにおいては特に有用であるが、その理由は、バリヤー膜として使用する炭化ケイ素膜に要求される誘電率が、これらのデバイスではより低くなるからである。
膜の安定性をさらに向上させなければならない場合には、半導体基板を図2に示したUV硬化装置の中で硬化させる。UV照射の効果は、不安定な結合を除去して膜をより安定とすること、外部からの湿分の吸収を抑制すること、さらには、膜の強度を改良することにある。
(実施例8)
膜厚:50nm
サセプター温度:375℃
DMDMOS流量:150sccm
圧力:630Pa
He流量:1500sccm
27.12MHzにおける電力:1100W(3.50W/cm
誘電率:4.5(200nm)
リーク電流(2MV/cm):2.40E−9
耐圧:8MV/cm
弾性率:57GPa
膜密度:2.2g/cm
193nmにおけるnおよびk:1.8(n)、0.21(k)
不活性ガスの流量/高周波電力=1.36sccm/W(428sccm/W/cm
(実施例9)
膜厚:50nm
サセプター温度:430℃
DMDMOS流量:100sccm
圧力:630Pa
He流量:1500sccm
27MHzMHzにおける電力:700W(2.23W/cm
誘電率:4.3(200nm)
リーク電流(2MV/cm):3.0E−9
耐圧:6.0MV/cm
弾性率:130GPa
膜密度:2.1g/cm
不活性ガスの流量/高周波電力=2.14sccm/W(673sccm/W/cm
実施例8および9においては、その不活性ガスの流量を1500sccmまで上げて、炭化ケイ素膜を堆積させた。図9に、誘電率(k)と膜密度(ρ)との間の関係(◆で表示)を示す。図7でも見られたように、グレーの帯域は、次式の範囲を示している:
(1.6・ρ+a)≦k≦(1.6・ρ+b)
ここでa=0、b=1.0である。
実施例8および9によって得られた膜は上述の範囲の中には入ってはいるものの、そのデータポイントはその範囲の上限に近かった。図10には、不活性ガス(sccm)の、高周波電力(W)、誘電率(k)、および膜密度(ρ)に対する比率の関係を示している。実施例8および9によって得られた膜の場合、上述の比率を調節する手段によって、その誘電率(k)および膜密度(ρ)を調節することが可能であることが判る。ここでは、図8の場合とは異なって、図10の中の水平軸によって表される高周波電力に対する比率は、基板の単位面積あたりの数値ではない。対応する基板の単位面積あたりの値は、水平軸から読み取った数値に100πを掛けることによって、計算することができる。基板の単位面積あたりの比率は、実施例8によって得られた膜では428であり、実施例9によって得られた膜では637となった。不活性ガスの流量が約1500sccmとなった場合、不活性ガスの流量がもっと低い場合に比較して、誘電率の低下が大いに抑制された。
(実施例10)
膜厚:50nm
サセプター温度:375℃
DMOTMDS流量:100sccm
TMB(C(CH)流量:50sccm
圧力:533Pa
He流量:1SLM
27MHzにおける電力:400W(1.27W/cm
400kHzにおける電力:50W
誘電率:3.0(200nm)
リーク電流(2MV/cm):2.7E−9
耐圧:6.0MV/cm
弾性率:30GPa
膜密度:1.55g/cm
不活性ガスの流量/高周波電力=2.5sccm/W(785sccm/W/cm
(実施例11)
膜厚:50nm
サセプター温度:375℃
DMOTMDS:300sccm
TMB流量:200sccm
圧力:266Pa
He流量:900sccm
27MHzにおける電力:500W(1.59W/cm
400kHzにおける電力:100W
誘電率:3.3(200nm)
リーク電流(2MV/cm):1.5E−9
耐圧:6.0MV/cm
弾性率:50GPa
膜密度:1.60g/cm
不活性ガスの流量/高周波電力=1.8sccm/W(565sccm/W/cm
(実施例12)
膜厚:50nm
サセプター温度:375℃
HMDSO流量:150sccm
TMB流量:150sccm
圧力:533Pa
He流量:1000sccm
27MHzにおける電力:400W(1.27W/cm
400kHzにおける電力:50W
誘電率:3.2(200nm)
リーク電流(2MV/cm):1.5E−9
耐圧:6.0MV/cm
弾性率:45GPa
膜密度:1.55g/cm
不活性ガスの流量/高周波電力=2.5sccm/W(785sccm/W/cm
(実施例13)
膜厚:50nm
サセプター温度:430℃
DMDMOS流量:100sccm
TMB流量:0sccm
圧力:630Pa
He流量:1000sccm
27.12MHzにおける電力:900W(2.86W/cm
誘電率:3.95(200nm)
リーク電流(2MV/cm):2.00E−10
耐圧:7MV/cm
弾性率:55GPa
膜密度:2.0g/cm
193nmにおけるnおよびk:1.8(n)、0.15(k)
不活性ガスの流量/高周波電力=1.1sccm/W(349sccm/W/cm
(実施例14)
膜厚:50nm
サセプター温度:375℃
DMDMOS流量:150sccm
TMB流量:30sccm
圧力:630Pa
He流量:1000sccm
13.56MHzにおける電力:1000W(3.18W/cm
400kHzにおける電力:150W
誘電率:2.8(200nm)
リーク電流(2MV/cm):7.90E−10
耐圧:7.2MV/cm
弾性率:39GPa
膜密度:1.5g/cm
193nmにおけるnおよびk:1.6(n)、0.12(k)
不活性ガスの流量/高周波電力=1.0sccm/W(314sccm/W/cm
実施例10〜14においては、添加ガスとして炭化水素ガスを使用して、炭化ケイ素膜を堆積させた。図9に、誘電率(k)と膜密度(ρ)との間の関係(■で表示)を示す。実施例10〜14によって得られた膜はすべて、グレーの帯域(この定義は図7の場合と同じである)の内部に入った。図10には、不活性ガス(sccm)の、高周波電力(W)、誘電率(k)、および膜密度(ρ)に対する比率の関係を示している。実施例10〜14によって得られた膜の場合、上述の比率を調節する手段によって、その誘電率(k)および膜密度(ρ)を調節することが可能であることが判る。しかしながら、炭化水素を使用しない場合に比較すると、その調節性が低下した。ここでは、図8の場合とは異なって、図10の中の水平軸によって表される高周波電力に対する比率は、基板の単位面積あたりの数値ではない。対応する基板の単位面積あたりの値は、水平軸から読み取った数値に100πを掛けることによって、計算することができる。基板の単位面積あたりの比率は、実施例10、11、12、13および14で得られた膜それぞれで、785、565、785、349および314であった。炭化水素を使用しない場合に比較すると、その調節性は低下したものの、炭化水素ガスを使用すると、誘電率の低下を促進させることが可能であることが判る。
(実施例15)
膜厚:50nm
サセプター温度:395℃
DMDMOS流量:150sccm
圧力:630Pa
He流量:300sccm
CO流量:2000sccm
27MHzにおける電力:300W(0.95W/cm
400kHzにおける電力:100W
誘電率:3.5(200nm)
リーク電流(2MV/cm):2.5E−10
耐圧:6.0MV/cm
弾性率:100GPa
膜密度:1.9g/cm
不活性ガスの流量/高周波電力=1.0sccm/W(314sccm/W/cm
(実施例16)
膜厚:50nm
サセプター温度:390℃
DMDMOS流量:150sccm
圧力:630Pa
He流量:150sccm
流量:200sccm
27MHzにおける電力:300W(0.95W/cm
400kHzにおける電力:100W
誘電率:3.4(200nm)
リーク電流(2MV/cm):3.0E−9
耐圧:6.0MV/cm
弾性率:80GPa
膜密度:1.7g/cm
不活性ガスの流量/高周波電力=1.5sccm/W(157sccm/W/cm
実施例15および16においては、添加ガスとしてCOおよびHガスを使用して、炭化ケイ素膜を堆積させた。Hの場合も同様であるが、COを使用したのは、Si−O結合を形成させるためではなく、Si構造の末端を安定化させるためである。この効果を有する添加ガスを使用すると、湿分浸透抵抗性試験によって測定したときに、図3に示すOHピークパーセントにおいて0.1%以下に相当するすぐれた湿分の浸透への抵抗性を示す炭化ケイ素膜を得ることが可能となる(実施例15によって得られた膜は、0.075%のOHピークを有していたが、その一方で実施例16によって得られた膜は、0.05%のOHピークを有していた)。しかしながら、それらの添加ガスは、ある種の膜構造の場合には使用しないが、その理由は、下層がCuなどである場合には、それらのガスがその下層を酸化させてしまう可能性があるからである。図9に、誘電率(k)と膜密度(ρ)との間の関係(△で表示)を示す。実施例15および16によって得られた膜はいずれも、グレーの帯域(この定義は図7の場合と同じである)の内部に入った。図10には、不活性ガス(sccm)の、高周波電力(W)、誘電率(k)、および膜密度(ρ)に対する比率の関係を示している。実施例15および16によって得られた膜の場合、上述の比率を調節する手段によって、その誘電率(k)および膜密度(ρ)を調節することが可能であることが判る。ここでは、図8の場合とは異なって、図10の中の水平軸によって表される高周波電力に対する比率は、基板の単位面積あたりの数値ではない。対応する基板の単位面積あたりの値は、水平軸から読み取った数値に100πを掛けることによって、計算することができる。基板の単位面積あたりの比率は、実施例15によって得られた膜では314、実施例16によって得られた膜では157であった。
本発明には、上述の実施形態およびその他各種の実施形態が含まれるが、それらを以下に示す:
1)半導体基板の上に堆積させるバリヤー膜または反射防止膜を形成させる方法であるが、ここで、具体的には、SiまたはSi(これらの化学式において、a、b、c、dおよびeは任意の整数である)で表される炭化ケイ素膜が形成される。上述の炭化ケイ素膜は、Si−O結合を有する前駆体を使用することにより、従来からの炭化ケイ素膜に比較して、高い密度と安定性を得ている。
2)上述の1)に記載の方法であるが、ここで、Si−O結合を有する材料を選択していることが理由で、酸化剤は使用しない。
3)上述の1)または2)に記載の方法であるが、ここで、その不活性ガスの流量のRF電力に対する比率を調節して、4.0以下の誘電率において高い密度を達成し、さらにCu−拡散ブロッキング機能も与える。
4)上述の3)に記載の方法であるが、ここで、その不活性ガス(sccm)の前記RF電力(W)に対する比率が、(直径200mmの基板を基準として)(4:1)から(1:6)までの範囲である。
5)上述の1)〜4)のいずれかの項目に記載の方法であるが、ここで、その不活性ガスの流量が1100sccm未満である。
6)上述の1)〜5)のいずれかの項目に記載の方法であるが、ここで、反射防止膜の機能を有する膜が、炭化ケイ素膜に加えて、リソグラフィのために形成される。
7)上述の1)〜6)のいずれかの項目に記載の方法であるが、ここで、安定性をさらに向上させ、絶縁膜に関しての選択性を改良するために、その膜の中に窒素基が含まれている。
8)上述の1)〜7)のいずれかの項目に記載の方法であるが、ここで、そのシリコン炭化水素化合物が、米国特許第6,455,445号明細書に開示されている物質に相当している。
9)上述の1)〜8)のいずれかの項目に記載の方法であるが、ここで、その希釈ガスが、N、He、Ar、Kr、Xeおよびそれらすべての組合せの中から選択される。
10)上述の1)〜9)のいずれかの項目に記載の方法であるが、ここで、その希釈ガス流量が、10sccm〜1000sccmの範囲内である。
11)上述の1)〜10)のいずれかの項目に記載の方法であるが、その処理される半導体基板の温度が、50℃〜550℃の範囲内である。
12)上述の1)〜11)のいずれかの項目に記載の方法であるが、ここで、使用される高周波電力の周波数が、13.56MHz、27MHzおよび60MHzから選択される。
13)上述の1)〜12)のいずれかの項目に記載の方法であるが、ここで、2種の周波数を組み合わせた電力、具体的には、13.56MHz、27MHzまたは60MHzの高周波電力と、5MHz以下(430kHz、400kHzなど)の低周波電力とを使用する。
当業者ならばよく理解するところであろうが、本発明の思想から外れることなく、多数かつ各種の変更を実施することが可能である。したがって、本発明の形態は、単に説明のためのものであって、本発明の範囲を限定する意図がないことは、充分に理解すべきである。
本発明の実施形態に従った、プラズマCVD装置を示す概略図である。 本発明の実施形態に従った、UV硬化装置を示す概略図である。 透水性試験の結果を示すグラフであって、ここで湿分浸透の程度は、試験後におけるFT−IRデータで示されるSi−OHに相当するピークの面積(%)により評価されている。 膜全体における銅の拡散を示す、低k膜(誘電率:3.1)のSIMSデータである。 深さ10μm以上では銅の拡散がないことを示している、本発明の実施形態に従って形成されたSiCNバリヤー膜のSIMSデータである。 深さ10μm以上では銅の拡散がないことを示している、本発明の実施形態に従って形成されたSiCO膜のSIMSデータである。 本発明の実施形態におけるk値と膜密度との間の関係、ならびに従来からの膜におけるk値と膜密度との間の関係を示すグラフである。 本発明の実施形態における不活性ガス(sccm)の高RF電力(W/cm)に対する比率と、k値および膜密度との関係、ならびに、従来からの膜における不活性ガス(sccm)の高RF電力(W/cm)に対する比率と、k−値および膜密度との関係を示すグラフである。 本発明のまた別な実施形態における、k値と膜密度との間の関係を示すグラフである。 本発明のまた別な実施形態(φ>200mm基板)における、不活性ガス(sccm)の高RF電力(W)に対する比率と、k値および膜密度との関係を示すグラフである。
符号の説明
1 リアクタ
2 上側電極
3 下側電極
4 高周波(RF)発生器
5、16 半導体基板
6 ガス入口ポート
7 RF供給ポート
8 排気ポート
11 硬化チャンバー
12 UVランプ
13 サセプターヒーター
14 排気ポート
15 ガス入口ポート

Claims (27)

  1. 反応空間中に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法であって:
    前記反応空間の中に、Si、C、O、およびHを含み、そしてその分子内に少なくとも1個のSi−O結合を有する前駆体を導入する工程;
    前記反応空間の中に不活性ガスを導入する工程;
    前記反応空間にRF電力を印加するが、ここで、前記不活性ガスの流量(sccm)の前記RF電力(W/cm)に対する比率を、0より大であるが850以下に調節する、工程;および
    それによって、前記基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を堆積させる工程、
    を含む方法。
  2. 不活性ガスの流量のRF電力に対する前記比率を調節して、前記堆積してくる炭化ケイ素膜の誘電率(k)と密度(ρ、g/cm)が次式を満足させるように合わせる、
    1.6・ρ≦k≦1.6・ρ+1.0
    請求項1に記載の方法。
  3. 前記反応空間において、前記RF電力を0.3〜7W/cmで印加し、前記不活性ガスを20〜1,100sccmの流量で導入する、請求項1に記載の方法。
  4. 不活性ガスの流量(sccm)のRF電力(W/cm)に対する前記比率を、30〜550に調節する、請求項1に記載の方法。
  5. 前記前駆体に酸化性ガスをまったく添加しない、請求項1に記載の方法。
  6. 前記前駆体と前記不活性ガスとだけを、前記反応空間の中に導入する、請求項1に記載の方法。
  7. 前記堆積してきた炭化ケイ素膜が、2.8〜3.8の誘電率を有する、請求項1に記載の方法。
  8. 前記堆積してきた炭化ケイ素膜が、1.4〜2.0g/cmの密度を有する、請求項1に記載の方法。
  9. 炭化ケイ素膜を形成させる工程より前に銅層を形成させる工程をさらに含み、ここで、前記炭化ケイ素膜が、バリヤー層として前記銅層の上に形成される、請求項1に記載の方法。
  10. 炭化ケイ素膜を形成させる工程より前にフォトリソグラフィにより被エッチング層を形成させる工程をさらに含み、ここで、前記炭化ケイ素膜が、反射防止層として前記被エッチング層の上に形成される、請求項1に記載の方法。
  11. 前記反射防止層が193nmにおいて、1.4〜2.0のn値および0.10〜0.45のk値を有する、請求項10に記載の方法。
  12. 前記炭化ケイ素膜が、10〜50nmの厚みで堆積される、請求項1に記載の方法。
  13. 前記前駆体ガスが、一般式Si(ここでa、b、x、およびyは任意の整数である)を有する有機ケイ素ガスである、請求項1に記載の方法。
  14. 前記有機ケイ素ガスが、以下のものからなる群より選択されるものの内の少なくとも一つである、請求項13に記載の方法。
    Figure 2008042208
    (式中、R、R、RおよびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);
    Figure 2008042208
    (式中、R、R、RおよびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);
    Figure 2008042208
    (式中、R、R、R、R、R、およびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである);
    Figure 2008042208
    (式中、R、R、R、R、R、およびRは独立して、CH、C、C、C、C、C,CおよびCの内のいずれか一つである)
  15. 前記不活性ガスが、He、Ar、Kr、およびXeからなる群より選択される、請求項1に記載の方法。
  16. 前記RF電力が、高周波数および低周波数を有し、前記高周波数が13.56MHz、27MHz、または60MHzであり、前記低周波数が5MHz以下である、請求項1に記載の方法。
  17. 前記不活性ガスが、Si、C、O、H、およびNを含む炭化ケイ素膜の中にNを組み入れるための窒素ガスである、請求項1に記載の方法。
  18. 反応空間中に置かれた基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を形成させるための方法であって:
    前記反応空間の中に、Si、C、O、およびHを含み、その分子内に少なくとも1個のSi−O結合を有する前駆体、ならびに不活性ガスを導入する工程;
    前記反応空間にRF電力を印加する工程;および
    前記堆積してくる炭化ケイ素膜の誘電率(k)および密度(ρ、g/cm)が次式を満足させるように調節しながら、前記基板の上に、Si、C、O、H、および場合によってはNを含む炭化ケイ素膜を堆積させる工程:
    1.6・ρ≦k≦1.6・ρ+1.0
    を含む方法。
  19. 炭化ケイ素膜を堆積させるための前記工程に、前記不活性ガスの流量(sccm)の前記RF電力(W/cm)に対する比率が30〜850になるように調節することを含む、請求項18に記載の方法。
  20. 前記前駆体および前記不活性ガスを導入する工程において、前記前駆体ガスおよび前記不活性ガス以外のガスを使用しない、請求項18に記載の方法。
  21. 次式を満足させる誘電率(k)および密度(ρ、g/cm)を有する炭化ケイ素膜。
    1.6・ρ≦k≦1.6・ρ+1.0
  22. 2.8〜3.8の誘電率を有する、請求項21に記載の炭化ケイ素膜。
  23. 1.4〜2.0g/cmの密度を有する、請求項21に記載の炭化ケイ素膜。
  24. 炭化ケイ素膜を銅層の上に堆積させ、400℃で4時間保持させたときに、20nm以下の銅浸透深さを有する、請求項21に記載の炭化ケイ素膜。
  25. 湿分の浸透防止性能を有する、請求項21に記載の炭化ケイ素膜。
  26. 193nmにおいて、1.4〜2.0の屈折率(n)および0.10〜0.45の吸光係数(k)を有する、請求項21に記載の炭化ケイ素膜。
  27. 窒素をまったく含まない、請求項26に記載の炭化ケイ素膜。
JP2007203606A 2006-08-08 2007-08-03 酸素含有炭化ケイ素膜を形成するための方法 Active JP5268130B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/463,247 2006-08-08
US11/463,247 US8080282B2 (en) 2006-08-08 2006-08-08 Method for forming silicon carbide film containing oxygen

Publications (3)

Publication Number Publication Date
JP2008042208A true JP2008042208A (ja) 2008-02-21
JP2008042208A5 JP2008042208A5 (ja) 2010-09-02
JP5268130B2 JP5268130B2 (ja) 2013-08-21

Family

ID=39051142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007203606A Active JP5268130B2 (ja) 2006-08-08 2007-08-03 酸素含有炭化ケイ素膜を形成するための方法

Country Status (2)

Country Link
US (1) US8080282B2 (ja)
JP (1) JP5268130B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021509765A (ja) * 2018-11-30 2021-04-01 ハンソル ケミカル カンパニー リミテッドHansol Chemical Co., Ltd. シリコン前駆体およびこれを用いたシリコン含有薄膜の製造方法

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015114094A1 (de) * 2015-08-25 2017-03-02 Alanod Gmbh & Co. Kg Reflektierendes Verbundmaterial mit lackiertem Aluminium-Träger und mit einer Silber-Reflexionsschicht und Verfahren zu dessen Herstellung
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102324630B1 (ko) * 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004228599A (ja) * 2000-07-21 2004-08-12 Canon Sales Co Inc 半導体装置
WO2004083495A2 (en) * 2003-03-18 2004-09-30 International Business Machines Corporation Ultra low k (ulk) sicoh film and method
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
JP2005294333A (ja) * 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
JP2006148100A (ja) * 2004-11-17 2006-06-08 Asm Japan Kk 低誘電率ナノ粒子膜の形成技術
JP2006165573A (ja) * 2004-12-09 2006-06-22 Asm Japan Kk 半導体デバイスの層間接続の形成方法及び装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3984726A (en) 1975-04-25 1976-10-05 Ppg Industries, Inc. Ultraviolet light system having means for maintaining constant intensity light profile
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
JPH1197494A (ja) 1997-09-18 1999-04-09 Hitachi Ltd 半導体装置およびその製造方法
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US20010038894A1 (en) * 2000-03-14 2001-11-08 Minoru Komada Gas barrier film
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
JP4109531B2 (ja) * 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004228599A (ja) * 2000-07-21 2004-08-12 Canon Sales Co Inc 半導体装置
WO2004083495A2 (en) * 2003-03-18 2004-09-30 International Business Machines Corporation Ultra low k (ulk) sicoh film and method
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
JP2005294333A (ja) * 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
JP2006148100A (ja) * 2004-11-17 2006-06-08 Asm Japan Kk 低誘電率ナノ粒子膜の形成技術
JP2006165573A (ja) * 2004-12-09 2006-06-22 Asm Japan Kk 半導体デバイスの層間接続の形成方法及び装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021509765A (ja) * 2018-11-30 2021-04-01 ハンソル ケミカル カンパニー リミテッドHansol Chemical Co., Ltd. シリコン前駆体およびこれを用いたシリコン含有薄膜の製造方法
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same

Also Published As

Publication number Publication date
US20080038485A1 (en) 2008-02-14
US8080282B2 (en) 2011-12-20
JP5268130B2 (ja) 2013-08-21

Similar Documents

Publication Publication Date Title
JP5268130B2 (ja) 酸素含有炭化ケイ素膜を形成するための方法
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP6845252B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
US6514880B2 (en) Siloxan polymer film on semiconductor substrate and method for forming same
US6541397B1 (en) Removable amorphous carbon CMP stop
JP2020065087A (ja) SiOCN薄膜の形成
JP5312588B2 (ja) プラズマ促進化学蒸着で高い機械的諸特性を有する超低k膜を作製するための新規なケイ素前駆体
US20060251825A1 (en) Low dielectric constant insulating film and method of forming the same
US20070134435A1 (en) Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
KR101376969B1 (ko) 저―κ의 유전 필름의 이중층 캡핑
KR101154111B1 (ko) 플라즈마 증진 화학 기상 증착에 의한 높은 기계적 성질을 지니는 초저­k 필름을 제조하기 위한 실리콘 전구체
JP2021527331A (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US20100015816A1 (en) Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
JPH05102040A (ja) 成膜方法
US20100087062A1 (en) High temperature bd development for memory applications
WO2021010004A1 (ja) 絶縁膜の形成方法
JP4180393B2 (ja) 低誘電率層間絶縁膜を形成する方法
KR100926722B1 (ko) 반도체 기판상의 실록산 중합체막 및 그 제조방법
TWI840581B (zh) 絕緣膜之形成方法
KR20230174357A (ko) 반도체 소자의 제조방법 및 이에 제조된 반도체 소자용 구조체
TW201934562A (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
JP2007318070A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100713

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130501

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130502

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5268130

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250