JP2007251105A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2007251105A
JP2007251105A JP2006076422A JP2006076422A JP2007251105A JP 2007251105 A JP2007251105 A JP 2007251105A JP 2006076422 A JP2006076422 A JP 2006076422A JP 2006076422 A JP2006076422 A JP 2006076422A JP 2007251105 A JP2007251105 A JP 2007251105A
Authority
JP
Japan
Prior art keywords
via hole
insulating film
interlayer insulating
wiring groove
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006076422A
Other languages
English (en)
Other versions
JP4728153B2 (ja
Inventor
Michio Oshiryoji
方生 押領司
Hisaya Sakai
久弥 酒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2006076422A priority Critical patent/JP4728153B2/ja
Priority to TW095131565A priority patent/TWI324375B/zh
Priority to US11/515,202 priority patent/US7906433B2/en
Priority to CN2006101389898A priority patent/CN101043021B/zh
Publication of JP2007251105A publication Critical patent/JP2007251105A/ja
Priority to US12/755,656 priority patent/US8546949B2/en
Application granted granted Critical
Publication of JP4728153B2 publication Critical patent/JP4728153B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 バリアメタル膜をスパッタエッチングしても、配線の信頼性を低下させない半導体装置の製造方法を提供する。
【解決手段】 半導体基板の上に、絶縁材料からなる層間絶縁膜を形成する。層間絶縁膜に、その底面まで達するビアホールを形成する。ビアホール内の下側の一部に、埋め込み部材を充填する。層間絶縁膜の厚さ方向の途中まで達し、平面視においてビアホールに連続する配線溝を形成する。このとき、層間絶縁膜のエッチングレートが埋め込み部材のエッチングレートよりも速い条件で、ビアホール内に残っている埋め込み部材の上面と、配線溝の底面との高さの差が、ビアホールの平面形状の最大寸法の1/2以下になるように配線溝を形成する。ビアホール内の埋め込み部材を除去する。ビアホール及び配線溝内に導電部材を充填する。
【選択図】 図1−3

Description

本発明は、半導体装置及びその製造方法に関し、特にダマシン法を用いた配線を含む半導体装置及びその製造方法に関する。
寄生容量の低減のために、配線層の層間絶縁膜の材料として従来の酸化シリコン等に比べて誘電率の低い材料が用いられている。また、さらなる低誘電率化のために、相対的に誘電率の高いエッチングストッパ膜を配置しない構造が採用されつつある。デュアルダマシン法により配線を形成する場合、ビアホールの層と配線溝の層との間に配置されていたエッチングストッパ膜を省略すると、配線溝やビアホールの形状の制御が困難になる。
また、ビアホールや配線溝が微細化されると、導電部材を再現性よく充填することが困難になる。下記の特許文献1に、ビアホールの壁面上端部や配線溝の壁面上端部に傾斜面を設けて埋め込み性を改善する技術が開示されている。
下記の特許文献2に、ビアホールの底に堆積するバリアメタル膜をスパッタエッチングしながらビアホールや配線溝の側壁にバリアメタル膜を堆積させる技術が開示されている。この技術により、配線のエレクトロマイグレーション耐性を高めることができる。
下記の特許文献3に、ビアホールの内面をバリアメタル膜で覆った後、底部のバリアメタル膜をエッチングで除去し、底部以外の薄くなったバリアメタル膜の上に、再度バリアメタル膜を堆積させる方法が開示されている。これにより、ビアホール底部のバリアメタル膜を薄くすると共に、ビアホールの側壁や、配線溝の内面のバリアメタル膜の十分な厚さを確保することができる。
特開2003−92349号公報(図9) 特開2001−284449号公報 特開2004−165336号公報
バリアメタル膜の堆積工程において、堆積とエッチングとを組み合わせたスパッタリングを適用することにより、歩留まりや配線の信頼性を向上させることができる。バリアメタル膜をスパッタエッチングする際に、基板表面に対する傾斜角が45°近傍の斜面の上に堆積しているバリアメタル膜が、その他の領域に堆積しているバリアメタル膜よりも速くエッチングされることがわかった。これは、スパッタに用いるイオンの入射角が45°近傍のときに、エッチング速度が最大になるためと考えられる。
ビアホールや配線溝の内面に、傾斜角45°の傾斜面が存在すると、その部分に堆積しているバリアメタル膜が薄くなってしまう。バリアメタル膜が薄くなると、ビアホール内に空孔等が発生し、配線の信頼性が低下してしまう。特許文献3に開示された方法を用いて、この薄くなった部分にバリアメタル膜を十分な厚さだけ再度堆積させると、その他の領域においてバリアメタル膜が厚くなり過ぎる場合がある。
本発明の目的は、バリアメタル膜をスパッタエッチングしても、配線の信頼性を低下させない半導体装置の製造方法を提供することである。本発明の他の目的は、バリアメタル膜をスパッタエッチングしても、配線の信頼性を低下させない構造を持つ半導体装置を提供することである。
本発明の一観点によると、
(a)半導体基板の上に、絶縁材料からなる層間絶縁膜を形成する工程と、
(b)前記層間絶縁膜に、その底面まで達するビアホールを形成する工程と、
(c)前記ビアホール内の下側の一部に、埋め込み部材を充填する工程と、
(d)前記層間絶縁膜の厚さ方向の途中まで達し、平面視において前記ビアホールに連続する配線溝を形成する工程であって、前記層間絶縁膜のエッチングレートが前記埋め込み部材のエッチングレートよりも速い条件で、前記ビアホール内に残っている埋め込み部材の上面と、前記配線溝の底面との高さの差が、前記ビアホールの平面形状の最大寸法の1/2以下になるように配線溝を形成する工程と、
(e)前記ビアホール内の埋め込み部材を除去する工程と、
(f)前記ビアホール及び配線溝内に導電部材を充填する工程と
を有する半導体装置の製造方法が提供される。
本発明の他の観点によると、
半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
前記配線溝及びビアホールの内部に充填された配線と
を有し、前記配線溝の底面と前記ビアホールの側面とは、傾斜面を介して接続されており、前記配線溝の長手方向に平行で前記ビアホールの中心を通り、かつ前記半導体基板の表面に垂直な断面内において、前記傾斜面のうち、前記半導体基板の表面に対する傾斜角が40°〜50°の範囲内の部分の長さが、前記ビアホールの平面形状の最大寸法以下である半導体装置が提供される。
本発明のさらに他の観点によると、
半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
前記配線溝及びビアホールの内部に充填された配線と
を有し、前記配線溝の底面と前記ビアホールの側面とは、段差面を介して接続されている半導体装置が提供される。
工程dにおいて、ビアホール内に残っている埋め込み部材の上面と、配線溝の底面との高さの差が、ビアホールの平面形状の最大寸法の1/2以下になるように配線溝を形成すると、配線溝の底面とビアホールの側面との接続部分に傾斜面が生じにくくなる。
傾斜角が40°〜50°の範囲内の傾斜面を短くすることにより、バリアメタル膜の目減りによる影響を軽減し、配線の信頼性を高めることができる。また、配線溝の底面とビアホールの側面との接続部分に段差面を介在させることにより、配線の信頼性を高めることができる。
図1Aに、実施例による半導体装置の配線及びビアホール部の平面図を示す。相対的に太い配線43の端部のほぼ中央から、相対的に細い配線41が、太い配線43の長手方向と平行な方向に延びている。細い配線41の先端に、ほぼ円形の平面形状を有するビアホール24が配置されている。一例として、太い配線43の幅W1は3μm、細い配線41の幅W2は140nm、太い配線43の端部からビアホール24の中心までの距離Lは1μmである。ビアホール24の直径は、細い配線41の幅と等しい。
図1B〜図1Lを参照して、実施例による半導体装置の製造方法について説明する。図1B〜図1Lは、図1Aの一点鎖線B1−B1における断面に相当する。具体的には、図1B〜図1Lは、配線41及び43の長手方向に平行でビアホール24の中心を通り、かつ半導体基板の表面に垂直な断面に相当する。
図1Bに示すように、シリコン等からなる半導体基板1の表層部に、シャロートレンチアイソレーション(STI)構造を持つ素子分離絶縁膜2が形成されている。素子分離絶縁膜2に囲まれた活性領域内に、MOSトランジスタ3が形成されている。半導体基板1の上に、酸化シリコン等からなる層間絶縁膜10が、MOSトランジスタ3を覆うように形成されている。層間絶縁膜10を貫通するビアホール内に、タングステン等からなる導電プラグ11が充填されている。導電プラグ11は、MOSトランジスタ3のソースまたはドレインに接続される。
層間絶縁膜10の上に、さらにSiOC等からなる層間絶縁膜15が形成されている。層間絶縁膜15内に、シングルダマシン法により配線溝が形成され、この配線溝内にCu等からなる配線17が埋め込まれている。なお、配線溝の内面は、Ta等からなるバリアメタル膜16で被覆されている。
層間絶縁膜15の上に、SiC等からなる厚さ50nmのキャップ膜20を形成する。さらにその上に、SiOC等からなる厚さ450nmの層間絶縁膜21、及び第1のハードマスク22をこの順番に堆積させる。第1のハードマスク22は、SiOからなる厚さ100nmの下層22aと、SiNからなる厚さ30nmの上層22bとの2層構造を有する。これらの膜は、例えば化学気相成長(CVD)により堆積される。第1のハードマスク22の下層22aの成膜には、原料ガスとしてテトラエトキシオルソシルケート(TEOS)と酸素とを用いる。なお、第1のハードマスク22の材料として、層間絶縁膜21とエッチング耐性の異なる他の材料を用いてもよい。
図1Cに示すように、第1のハードマスク22の上に、レジストパターン30を形成する。レジストパターン30には、層間絶縁膜21に形成すべきビアホール24に対応する開口が設けられている。レジストパターン30をエッチングマスクとして、第1のハードマスク22及び層間絶縁膜21をエッチングし、ビアホール24を形成する。ビアホール24の底にキャップ膜20が露出する。このエッチングは、例えばMERIE(Magnetically Enhanced Reactive Ion Etching)装置を用い、下記の条件で行うことができる。
流量 6sccm;
流量 6sccm;
流量 60sccm;
Ar流量 600sccm;
圧力 6.7Pa(50mTorr);
RFパワー:1200W。
ビアホール24を形成した後、レジストパターン30を除去する。
図1Dに示すように、第1のハードマスク22の上に、感光性を持たないレジストからなる埋め込み部材33を形成する。埋め込み部材33は、ビアホール24内にも充填される。埋め込み部材33の表面は、ほぼ平坦になる。なお、埋め込み部材33の材料として、キャップ膜20、層間絶縁膜21、第1のハードマスク22のいずれともエッチング耐性の異なる他の材料を用いてもよい。
平坦化された埋め込み部材33の表面上に、原料としてTEOSを用いたCVDにより、SiOからなる厚さ100nmの第2のハードマスク35を形成する。なお、第2のハードマスク35の材料として、埋め込み部材33とはエッチング耐性の異なる他の材料を用いてもよい。第2のハードマスク35の上に、レジストパターン38を形成する。レジストパターン38には、層間絶縁膜21に形成すべき配線溝に対応する開口が設けられている。
図1Eに示すように、レジストパターン38をエッチングマスクとして、第2のハードマスク35をMERIE装置を用いてエッチングする。エッチング条件は下記の通りである。
流量 25sccm;
流量 50sccm;
Ar流量 250sccm;
圧力 3.3Pa(25mTorr);
RFパワー 250W。
図1Fに示すように、第2のハードマスク35をエッチングマスクとして、埋め込み部材33を、MERIE装置を用いてビアホール24の深さの途中までエッチングする。エッチング条件は、下記の通りである。
流量 10sccm;
流量 300sccm;
圧力 6.7Pa(50mTorr);
RFパワー 200W。
このエッチングにより、第2のハードマスク35を覆っていたレジストパターン38も除去され、第2のハードマスク35の上面が露出する。さらに、配線溝に対応する領域の第1のハードマスク22の上面が露出する。第2のハードマスク35で覆われている領域に埋め込み部材の一部33Aが残り、ビアホール24内の一部に、埋め込み部材の一部33Bが残る。ビアホール24内に残すべき埋め込み部材33Bの好ましい高さについて、後に詳しく説明する。
図1Gに示すように、埋め込み部材33Aをエッチングマスクとして、第1のハードマスク22を、MERIE装置を用いてエッチングする。エッチング条件は下記の通りである。
CF流量 50sccm;
CHF流量 50sccm;
圧力 3.3Pa(25mTorr);
RFパワー 500W。
このエッチングにより、配線溝に対応する部分の層間絶縁膜21の表面が露出する。さらに、埋め込み部材33Aの上に残っていた第1のハードマスク35も除去される。
図1Hに示すように、埋め込み部材33A及び33Bをエッチングマスクとして、層間絶縁膜21を、MERIE装置を用い、層間絶縁膜21のエッチングレートが埋め込み部材33Bのエッチングレートよりも速い条件で、厚さ方向の途中までエッチングする。具体的なエッチング条件は、例えば下記の通りである。
CF流量 70sccm;
CHF流量 30sccm;
圧力 3.3Pa(25mTorr);
RFパワー 500W。
このエッチングにより、配線溝25が形成される。層間絶縁膜21のエッチング時に、埋め込み部材33A及び33Bも部分的にエッチングされるため、第1のハードマスク22の上に残っている埋め込み部材33Aが薄くなり、ビアホール24内に充填されている埋め込み部材33Bが低くなる。配線溝25を目標の深さまで形成した時点で、配線溝25の底面と、ビアホール24内に充填されている埋め込み部材33Bの上面とがほぼ同じ高さになることが好ましい。より具体的には、ビアホール24内に残っている埋め込み部材33Bの上面と、配線溝25の底面との高さの差を、ビアホール24の平面形状の最大寸法の1/2以下にすることが好ましい。このためには、形成すべき配線溝25の目標深さ、及び層間絶縁膜21のエッチングレートと埋め込み部材33Bのエッチングレートとの比に基づいて、図1Gに示した工程において、ビアホール24内に残す埋め込み部材33Bの高さを調節しておけばよい。
配線溝25を形成した後、埋め込み部材33A及び33Bをアッシングにより除去する。アッシングの条件は、下記の通りである。
流量 900sccm;
圧力 40Pa(300mTorr);
RFパワー 300W。
図1Iに示すように、ビアホール24の底にキャップ膜20が露出し、第1のハードマスク22の上面が露出する。
図1Jに示すように、ビアホール24の底に露出しているキャップ膜20を、MERIE装置を用いてエッチングする。エッチング条件は下記の通りである。
CH流量 20sccm;
流量 10sccm;
流量 50sccm;
圧力 2.7Pa(20mTorr);
RFパワー 100W。
キャップ膜20のエッチング時に、第1のハードマスク22の上層22bも除去される。ビアホール24の底に露出したCu配線17の表面を、Ar等でスパッタリングすることにより表面の清浄化を行う。
図1Kに示すように、配線溝25の内面、ビアホール24の内面、及び第1のハードマスク22の下層22aの上面を覆うように、Taからなるバリアメタル膜40Aを、スッパッタリングにより形成する。
図2に、スパッタリング装置の概略断面図を示す。チャンバ50内に、ウエハステージ51が配置されており、その上にウエハ52が保持される。ウエハ52の上方にターゲット53が保持されている。ターゲット53とウエハステージ51との間の空間の側方が、シールド54で磁気シールドされる。ターゲット53の上に回転磁気アセンブリ55が装着されている。
ステージバイアス電源58がウエハステージ51に基板バイアス電力を供給する。ターゲット電源59がターゲット53にターゲット電力を供給する。基板バイアス電力及びターゲット電力は、例えば周波数13.56MHzの高周波電力である。ガス供給源60からチャンバ50内にガスが供給され、真空ポンプ65がチャンバ50内を真空排気する。ターゲット電力と基板バイアス電力とを制御することにより、Ta膜の堆積速度と堆積したTa膜のエッチング速度とを調節することができる。
以下、Taからなるバリアメタル膜40Aの成膜方法について説明する。まず、堆積速度がエッチング速度よりも大きくなる条件でTa膜を堆積させる。このときの成膜条件は下記の通りである。
ターゲット電力 10kW;
基板バイアス電力 0〜200W;
圧力 4×10−2〜8×10−2Pa。
次に、エッチング速度が堆積速度よりも大きくなる条件、例えば成膜速度がエッチング速度の0.5〜0.9倍程度となる条件で、基板上に堆積しているTa膜のリスパッタリングを行う。リスパッタリングの条件は下記の通りである。
ターゲット電力 0.5〜4.0kW;
基板バイアス電力 200〜600W;
圧力 4×10−2〜8×10−2Pa;
リスパッタリング時間 5〜30秒。
上述の条件で形成されるバリアメタル膜40Aの厚さは、ビアホール24の側面上において5〜8nm、底面上において3〜5nm、配線溝25の側面上において8〜13nm、底面上において5〜10nm、第1のハードマスク膜22上において10〜15nmになる。
バリアメタル膜40Aの上にCuのシード膜をスパッタリングにより成膜し、さらにCuを電解めっきすることにより導電膜41Aを形成する。ビアホール24及び配線溝25内が導電膜41Aで埋め込まれる。
図1Lに示すように、第1のハードマスク膜の下層22aが露出するまでCMPを行う。ビアホール24及び配線溝25の内面上にバリアメタル膜40が残り、ビアホール24及び配線溝25内に充填された配線41が残る。
次に、図3A及び図3Bを参照して、配線溝25の底面とビアホール24の側面との接続部分の形状について説明する。
図3Bに、ビアホール24内に残された埋め込み部材33Bの高さが低すぎる場合のエッチングの進み方を示す。エッチングの当初、ビアホール24の上端近傍の側面が露出しているため、ビアホール24の側面と配線溝25の底面との接続部分に傾斜面27が形成される。配線溝25が形成される領域の層間絶縁膜21のエッチングが進むと、埋め込み部材33Bもエッチングされ、その高さが低くなる。埋め込み部材33Bが低くなると、ビアホール24の側面の露出する部分が増え、傾斜面27が大きくなる。
図3Aに、実施例による方法で層間絶縁膜21のエッチングを行うときのエッチングの進み方を示す。エッチングの当初、図3Bの場合と同様に、ビアホール24の側面と配線溝25の底面との接続部分に傾斜面27が形成される。しかし、ビアホール24の露出している部分が浅いため、図3Bの場合に比べて傾斜面27が緩やかになる。エッチングが進み、配線溝25の底面が、埋め込み部材33Bの上面にほぼ追いつくと、傾斜面が形成されにくくなる。配線溝25の底面と埋め込み部材24の上面とが同じ高さになったときにエッチングを停止させれば、傾斜面はほとんど形成されない。
次に、図4A〜図4Fを参照して、配線溝25の底面とビアホール24の側面との接続部分に形成される種々の表面形状について説明する。図4A〜図4Fは、図1Aの一点鎖線B1−B1における断面に相当する。具体的には、配線41及び43の長手方向に平行でビアホール24の中心を通り、かつ半導体基板の表面に垂直な断面に相当する。
図4Aは、配線溝25の底面とビアホール24の側面との接続部分に、傾斜面27aが形成されている状態を示す。半導体基板1の表面に対する傾斜面27aの傾斜角は、50°よりも大きい。
図4Bは、配線溝25の底面とビアホール24の側面との接続部分に、段差面27bが形成されている状態を示す。段差面27bは、ビアホール24の側面に連続する相対的に勾配の緩やかな領域と、配線溝25の底面に連続する相対的に勾配の急な領域とを含む。図4Bでは、勾配の緩やかな領域の傾斜角がほぼ0であり、勾配の急な領域の傾斜角がほぼ90°の場合を示している。
図4Cに示した段差面27cは、図4Bの段差面27bと異なる形状を有する。段差面27cの勾配の緩やかな領域の傾斜角はほぼ0であり、勾配の急な領域の傾斜角は、50°よりも大きい。
図4Dは、傾斜角が40°〜50°の範囲内の傾斜面27dが形成されている状態を示している。図4Eは、図の断面内において曲線部分を含む傾斜面27eが形成されている状態を示している。図4Fは、傾斜角が40°〜50°の範囲内で、図4Dに示した傾斜面27dよりも大きな傾斜面27fが形成されている状態を示している。
このような形状の相違は、図1Hに示した層間絶縁膜21をエッチングする工程におけるエッチング条件の違いや、配線溝25の底面と埋め込み部材33Bの上面との高さの違い等により発生する。
図5A及び図5Bに、実際に作製した配線溝とビアホールの断面の顕微鏡写真を示す。この写真は、配線溝及びビアホールの内面をバリアメタル膜で覆った状態を示す。Cu配線はまだ充填されていない。図5Aは、配線溝の底面とビアホールの側面との接続部分に段差面が形成されている図4Cの状態に相当する。図5Bは、配線溝の底面とビアホールの側面との接続部分に傾斜角が40°〜50°の範囲内の傾斜面が形成されている図4Dまたは図4Fの状態に相当する。
図5A及び図5Bに示した試料のストレスマイグレーション耐性を評価するために、試料を約200℃まで昇温させて、21日間放置し、加熱前のビア抵抗と、昇温させた状態で放置した後のビア抵抗を測定した。
図6に、図5A及び図5Bに示した試料のビアチェーンの抵抗の上昇率の累積確率を示す。横軸は、抵抗の上昇率を単位「%」で表し、縦軸は、累積確率を表す。図6の曲線a及びbは、それぞれ図5A及び図5Bに示した試料の累積確率を示す。
図5Bに示した試料においては、図5Aに示した試料に比べてビア抵抗の上昇率が大きいことがわかる。評価試験後に、図5Bに示した試料のビアホール部分を観察したところ、ビアホール内に空孔(ボイド)が発生したことにより、ビア抵抗が上昇したことがわかった。以下、ボイド発生の原因について説明する。
図4Fに示したように、傾斜角40°〜50°の大きな傾斜面27fが形成されていると、バリアメタル膜40Aの成膜工程において、傾斜面27f上に堆積したバリアメタル膜40Aがリスパッタリングされて薄くなる。バリアメタル膜40Aが薄くなった領域でCu配線41の密着性が低下し、ボイドが発生しやすくなると考えられる。特に、傾斜角が40°〜50°の傾斜面上においてリスパッタリングによる膜厚の目減りが大きい。
図4Aに示したように、傾斜面27aの傾斜角が50°より大きい場合には、この傾斜面27a上に堆積したバリアメタル膜40Aのリスパッタリングによる目減りが少ない。このため、配線の信頼性低下を防止することができる。また、傾斜面の傾斜角が40°未満である場合にも、同様に、配線の信頼性低下を防止することができる。
図4Bに示したように、配線溝25の底面とビアホール24の側面との接続部分に段差面27bが形成されている場合にも、リスパッタリングによるバリアメタル膜40Aの膜厚の目減りを抑制することができる。特に、段差面27bの勾配の緩やかな領域の傾斜角が40°未満であり、かつ勾配の急な領域の傾斜角が50°よりも大きい形状である場合、バリアメタル膜40Aの膜厚の目減り抑制効果が大きい。
図4Dに示したように、傾斜面27dの傾斜角が40°〜50°の範囲内である場合には、その上に堆積したバリアメタル膜40Aの膜厚の目減りが懸念される。ただし、図4Dに示した断面内における傾斜面27dの長さが、ビアホール24の平面形状の最大寸法以下である場合には、バリアメタル膜40Aの膜厚の目減りによる影響は少ない。ここで、平面形状の最大寸法とは、ビアホールの平面形状を内包する最小の円の直径を意味する。例えば、ビアホールの平面形状が円形である場合には、その直径を意味し、平面形状が正方形または長方形である場合には、その対角線の長さを意味する。
また、図4Cに示した段差面27cの勾配が急な領域の傾斜角が40°〜50°の範囲内である場合に、図4Cに示した断面内における勾配の急な領域の長さが、ビアホール24の平面形状の最大寸法以下であれば、バリアメタル膜40Aの膜厚の目減りによる影響は少ない。
図4Eに示したように、傾斜面27eが、図4Eの断面内において曲線部分を含む場合には、傾斜角が40°〜50°の範囲内の領域の合計の長さが、ビアホール24の平面形状の最大寸法以下にすることが好ましい。
図4Fに示したように、傾斜面27fが大きく、断面内における傾斜面27fの長さが、ビアホール24の平面形状の最大寸法よりも長い場合には、配線のストレスマイグレーション耐性が十分ではなく、ボイドが発生しやすくなる。
発明者らの評価実験によると、図1Aに示したように、太い配線の先端から細い配線が延び、その先端にビアホールが配置されている構成において、ビアホール内にボイドが発生しやすいことが分かった。このため、このようなレイアウトの配線パターン及びビアホールを持つ半導体装置において、配線溝の底面とビアホールの側面との接続部分の形状を上記実施例のように調整することの効果が顕著に現れる。
また、太い部分43の幅が、細い部分41の幅の3倍以上である場合に、顕著な効果が期待できる。さらに、太い部分43の端部からビアホール24の中心までの長さが、ビアホール24の直径の1.5倍以上である場合に、顕著な効果が期待できる。
以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。
上記実施例から、以下の付記に示す発明が導出される。
(付記1)
(a)半導体基板の上に、絶縁材料からなる層間絶縁膜を形成する工程と、
(b)前記層間絶縁膜に、その底面まで達するビアホールを形成する工程と、
(c)前記ビアホール内の下側の一部に、埋め込み部材を充填する工程と、
(d)前記層間絶縁膜の厚さ方向の途中まで達し、平面視において前記ビアホールに連続する配線溝を形成する工程であって、前記層間絶縁膜のエッチングレートが前記埋め込み部材のエッチングレートよりも速い条件で、前記ビアホール内に残っている埋め込み部材の上面と、前記配線溝の底面との高さの差が、前記ビアホールの平面形状の最大寸法の1/2以下になるように配線溝を形成する工程と、
(e)前記ビアホール内の埋め込み部材を除去する工程と、
(f)前記ビアホール及び配線溝内に導電部材を充填する工程と
を有する半導体装置の製造方法。
(付記2)
前記工程fが、前記ビアホール及び配線溝の内面をバリアメタル膜で覆う工程を含む付記1に記載の半導体装置の製造方法。
(付記3)
前記バリアメタル膜で覆う工程が、
前記ビアホール及び配線溝の内面上に、前記バリアメタル膜の材料をスパッタリングにより堆積させる工程と、
堆積速度よりもエッチング速度の方が速い条件で、前記バリアメタル膜をリスパッタリングする工程と
を含む付記2に記載の半導体装置の製造方法。
(付記4)
前記工程bが、
(b1)前記層間絶縁膜の上に、該層間絶縁膜とはエッチング耐性の異なる材料からなる第1のハードマスクを形成する工程と、
(b2)前記第1のハードマスクの上面から前記層間絶縁膜の底面まで達するビアホールを形成する工程と
を含む付記1〜3のいずれかに記載の半導体装置の製造方法。
(付記5)
前記工程cが、
(c1)前記層間絶縁膜及び前記第1のハードマスクとはエッチング耐性の異なる材料からなる埋め込み部材を、前記ビアホール内に充填すると共に、前記第1のハードマスクの上に堆積させる工程と、
(c2)前記埋め込み部材の上に、前記埋め込み部材とはエッチング耐性の異なる材料からなる第2のハードマスクを形成する工程と、
(c3)前記第2のハードマスクに、前記配線溝に対応する開口を形成する工程と、
(c4)前記第2のハードマスクをエッチングマスクとして、前記ビアホールの深さ方向の途中まで前記埋め込み部材をエッチングする工程と、
(c5)前記埋め込み部材の上に残っている前記第2のハードマスク、及び前記第2のハードマスクに形成されていた開口に対応する領域の前記第1のハードマスクを除去する工程と
を含む付記4に記載の半導体装置の製造方法。
(付記6)
前記工程dにおいて、前記ビアホール内に残っている前記埋め込み部材、及び前記第1のハードマスクの上に残っている前記埋め込み部材をエッチングマスクとして、前記層間絶縁膜を厚さ方向の途中までエッチングする付記5に記載の半導体装置の製造方法。
(付記7)
半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
前記配線溝及びビアホールの内部に充填された配線と
を有し、前記配線溝の底面と前記ビアホールの側面とは、傾斜面を介して接続されており、前記配線溝の長手方向に平行で前記ビアホールの中心を通り、かつ前記半導体基板の表面に垂直な断面内において、前記傾斜面のうち、前記半導体基板の表面に対する傾斜角が40°〜50°の範囲内の部分の長さが、前記ビアホールの平面形状の最大寸法以下である半導体装置。
(付記8)
前記配線溝は、相対的に太い部分と、該太い部分の端部から延びる相対的に細い部分を含み、前記ビアホールは、前記細い部分の先端に配置されている付記7に記載の半導体装置。
(付記9)
前記太い部分の幅は、前記細い部分の幅の3倍以上である付記8に記載の半導体装置。
(付記10)
前記太い部分の端部から前記ビアホールの中心までの長さは、前記ビアホールの直径の1.5以上である付記8または9に記載の半導体装置。
(付記11)
前記半導体基板の表面に対する前記傾斜面の傾斜角が、40°未満、または50°よりも大きい付記7〜10のいずれかに記載の半導体装置。
(付記12)
前記傾斜面は、前記配線溝の長手方向に平行で前記ビアホールの中心を通り、かつ前記半導体基板の表面に垂直な断面内において曲線部分を含む付記7〜11のいずれかに記載の半導体装置。
(付記13)
半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
前記配線溝及びビアホールの内部に充填された配線と
を有し、前記配線溝の底面と前記ビアホールの側面とは、段差面を介して接続されている半導体装置。
(付記14)
前記段差面は、前記ビアホールの側面に連続する相対的に勾配の緩やかな領域と、前記配線溝の底面に連続する相対的に勾配の急な領域とを含む付記13に記載の半導体装置。
(付記15)
前記勾配の緩やかな領域の傾斜角は40°未満であり、前記勾配の急な領域の傾斜角は50°よりも大きい付記14に記載の半導体装置。
(付記16)
前記勾配の急な領域の傾斜角は40°〜50°の範囲内であり、前記ビアホールの中心を通り前記半導体基板の表面に垂直な断面内において、前記勾配の急な領域の長さは前記ビアホールの平面形状の最大寸法以下である付記14に記載の半導体装置。
(1A)は、実施例による半導体装置の配線溝とビアホールのレイアウトを示す平面図であり、(1B)は、実施例による半導体装置の製造途中における断面図(その1)である。 (1C)は、実施例による半導体装置の製造途中における断面図(その2)であり、(1D)は、実施例による半導体装置の製造途中における断面図(その3)である。 (1E)は、実施例による半導体装置の製造途中における断面図(その4)であり、(1F)は、実施例による半導体装置の製造途中における断面図(その5)である。 (1G)は、実施例による半導体装置の製造途中における断面図(その6)であり、(1H)は、実施例による半導体装置の製造途中における断面図(その7)である。 (1I)は、実施例による半導体装置の製造途中における断面図(その8)であり、(1J)は、実施例による半導体装置の製造途中における断面図(その9)である。 (1K)は、実施例による半導体装置の製造途中における断面図(その10)であり、(1L)は、実施例による半導体装置の断面図である。 バリアメタル膜を形成するスパッタリング装置の断面図である。 (3A)は、実施例による半導体装置の製造方法における配線溝形成時のエッチング途中経過を示す断面図であり、(3B)は、比較例による半導体装置の製造方法における配線溝形成時のエッチング途中経過を示す断面図である。 (4A)〜(4C)は、実施例による半導体装置の配線溝の底面とビアホールの側面との接続部分の形状の例を示す断面図である。 (4D)及び(4E)は、実施例による半導体装置の配線溝の底面とビアホールの側面との接続部分の形状の例を示す断面図であり、(4F)は、比較例による半導体装置の配線溝の底面とビアホールの側面との接続部分の形状の例を示す断面図である。 (5A)及び(5B)は、実際に作製した半導体装置の配線溝とビアホール部分の顕微鏡写真である。 図5A及び図5Bに対応する試料のストレスマイグレーション耐性評価試験における抵抗の上昇率の累積確率を示すグラフである。
符号の説明
1 半導体基板
2 素子分離絶縁膜
3 MOSトランジスタ
10 層間絶縁膜
11 導電プラグ
15 層間絶縁膜
16 バリアメタル膜
17 配線
20 キャップ膜
21 層間絶縁膜
22 第1のハードマスク
22a 第1のハードマスクの下層
22b 第1のハードマスクの上層
24 ビアホール
25 配線溝
27 傾斜面
30 レジストパターン
33、33A、33B 埋め込み部材
35 第2のハードマスク
38 レジストパターン
40、40A バリアメタル膜
41 細い配線
41A 導電膜
43 太い配線
50 チャンバ
51 ウエハステージ
52 ウエハ
53 ターゲット
54 シールド
55 回転磁気アセンブリ
58 ステージバイアス電源
59 ターゲット電源
60 ガス供給源
65 真空ポンプ

Claims (10)

  1. (a)半導体基板の上に、絶縁材料からなる層間絶縁膜を形成する工程と、
    (b)前記層間絶縁膜に、その底面まで達するビアホールを形成する工程と、
    (c)前記ビアホール内の下側の一部に、埋め込み部材を充填する工程と、
    (d)前記層間絶縁膜の厚さ方向の途中まで達し、平面視において前記ビアホールに連続する配線溝を形成する工程であって、前記層間絶縁膜のエッチングレートが前記埋め込み部材のエッチングレートよりも速い条件で、前記ビアホール内に残っている埋め込み部材の上面と、前記配線溝の底面との高さの差が、前記ビアホールの平面形状の最大寸法の1/2以下になるように配線溝を形成する工程と、
    (e)前記ビアホール内の埋め込み部材を除去する工程と、
    (f)前記ビアホール及び配線溝内に導電部材を充填する工程と
    を有する半導体装置の製造方法。
  2. 前記工程fが、前記ビアホール及び配線溝の内面をバリアメタル膜で覆う工程を含む請求項1に記載の半導体装置の製造方法。
  3. 前記バリアメタル膜で覆う工程が、
    前記ビアホール及び配線溝の内面上に、前記バリアメタル膜の材料をスパッタリングにより堆積させる工程と、
    堆積速度よりもエッチング速度の方が速い条件で、前記バリアメタル膜をリスパッタリングする工程と
    を含む請求項2に記載の半導体装置の製造方法。
  4. 前記工程bが、
    (b1)前記層間絶縁膜の上に、該層間絶縁膜とはエッチング耐性の異なる材料からなる第1のハードマスクを形成する工程と、
    (b2)前記第1のハードマスクの上面から前記層間絶縁膜の底面まで達するビアホールを形成する工程と
    を含む請求項1〜3のいずれかに記載の半導体装置の製造方法。
  5. 前記工程cが、
    (c1)前記層間絶縁膜及び前記第1のハードマスクとはエッチング耐性の異なる材料からなる埋め込み部材を、前記ビアホール内に充填すると共に、前記第1のハードマスクの上に堆積させる工程と、
    (c2)前記埋め込み部材の上に、前記埋め込み部材とはエッチング耐性の異なる材料からなる第2のハードマスクを形成する工程と、
    (c3)前記第2のハードマスクに、前記配線溝に対応する開口を形成する工程と、
    (c4)前記第2のハードマスクをエッチングマスクとして、前記ビアホールの深さ方向の途中まで前記埋め込み部材をエッチングする工程と、
    (c5)前記埋め込み部材の上に残っている前記第2のハードマスク、及び前記第2のハードマスクに形成されていた開口に対応する領域の前記第1のハードマスクを除去する工程と
    を含む請求項4に記載の半導体装置の製造方法。
  6. 前記工程dにおいて、前記ビアホール内に残っている前記埋め込み部材、及び前記第1のハードマスクの上に残っている前記埋め込み部材をエッチングマスクとして、前記層間絶縁膜を厚さ方向の途中までエッチングする請求項5に記載の半導体装置の製造方法。
  7. 半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
    前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
    前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
    前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
    前記配線溝及びビアホールの内部に充填された配線と
    を有し、前記配線溝の底面と前記ビアホールの側面とは、傾斜面を介して接続されており、前記配線溝の長手方向に平行で前記ビアホールの中心を通り、かつ前記半導体基板の表面に垂直な断面内において、前記傾斜面のうち、前記半導体基板の表面に対する傾斜角が40°〜50°の範囲内の部分の長さが、前記ビアホールの平面形状の最大寸法以下である半導体装置。
  8. 前記配線溝は、相対的に太い部分と、該太い部分の端部から延びる相対的に細い部分を含み、前記ビアホールは、前記細い部分の先端に配置されている請求項7に記載の半導体装置。
  9. 半導体基板の上に形成された絶縁材料からなる層間絶縁膜と、
    前記層間絶縁膜の上面から、該層間絶縁膜の厚さ方向の途中まで達する深さを有する配線溝と、
    前記配線溝の端部に配置され、前記層間絶縁膜の底面まで達するビアホールと、
    前記配線溝及びビアホールの内面を覆うバリアメタル膜と、
    前記配線溝及びビアホールの内部に充填された配線と
    を有し、前記配線溝の底面と前記ビアホールの側面とは、段差面を介して接続されている半導体装置。
  10. 前記段差面は、前記ビアホールの側面に連続する相対的に勾配の緩やかな領域と、前記配線溝の底面に連続する相対的に勾配の急な領域とを含む請求項9に記載の半導体装置。
JP2006076422A 2006-03-20 2006-03-20 半導体装置の製造方法 Active JP4728153B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006076422A JP4728153B2 (ja) 2006-03-20 2006-03-20 半導体装置の製造方法
TW095131565A TWI324375B (en) 2006-03-20 2006-08-28 Semiconductor device having wirings formed by damascene and its manufacture method
US11/515,202 US7906433B2 (en) 2006-03-20 2006-09-05 Semiconductor device having wirings formed by damascene and its manufacture method
CN2006101389898A CN101043021B (zh) 2006-03-20 2006-09-22 具有镶嵌形成的配线的半导体器件及其制造方法
US12/755,656 US8546949B2 (en) 2006-03-20 2010-04-07 Semiconductor device having wirings formed by damascene

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006076422A JP4728153B2 (ja) 2006-03-20 2006-03-20 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2007251105A true JP2007251105A (ja) 2007-09-27
JP4728153B2 JP4728153B2 (ja) 2011-07-20

Family

ID=38518434

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006076422A Active JP4728153B2 (ja) 2006-03-20 2006-03-20 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US7906433B2 (ja)
JP (1) JP4728153B2 (ja)
CN (1) CN101043021B (ja)
TW (1) TWI324375B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278330A (ja) * 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP2014056991A (ja) * 2012-09-13 2014-03-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5436867B2 (ja) * 2009-01-09 2014-03-05 ルネサスエレクトロニクス株式会社 フューズ素子の製造方法
US20140061918A1 (en) * 2011-12-27 2014-03-06 Christopher Jezewski METHOD OF FORMING LOW RESISTIVITY TaNx/Ta DIFFUSION BARRIERS FOR BACKEND INTERCONNECTS
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
KR102014197B1 (ko) * 2012-10-25 2019-08-26 삼성전자주식회사 반도체 장치 및 이의 형성 방법
TWI594671B (zh) * 2014-12-17 2017-08-01 Flexible circuit board micro-aperture conductive through-hole structure and manufacturing method
DE102017103620B4 (de) 2017-02-22 2022-01-05 Infineon Technologies Ag Halbleitervorrichtung, Mikrofon und Verfahren zum Bilden einer Halbleitervorrichtung
KR102460076B1 (ko) 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208620A (ja) * 1999-01-11 2000-07-28 Mitsubishi Electric Corp 半導体装置の製造方法
JP2001230317A (ja) * 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
JP2003218114A (ja) * 2002-01-22 2003-07-31 Toshiba Corp 半導体装置及びその製造方法
JP2004040019A (ja) * 2002-07-08 2004-02-05 Matsushita Electric Ind Co Ltd 金属配線の形成方法
JP2004526868A (ja) * 2001-05-04 2004-09-02 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd
JP2004356521A (ja) * 2003-05-30 2004-12-16 Nec Electronics Corp 半導体装置およびその製造方法
JP2005191254A (ja) * 2003-12-25 2005-07-14 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933756A (en) * 1995-10-18 1999-08-03 Ricoh Company, Ltd. Fabrication process of a semiconductor device having a multilayered interconnection structure
JP3445495B2 (ja) * 1997-07-23 2003-09-08 株式会社東芝 半導体装置
US6169030B1 (en) * 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2001284449A (ja) 2000-03-31 2001-10-12 Sony Corp 半導体装置の製造方法
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2003092349A (ja) 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3810309B2 (ja) * 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US7183195B2 (en) * 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6855629B2 (en) * 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
EP1385201B1 (en) 2002-07-24 2012-09-05 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device
JP2004119950A (ja) * 2002-09-30 2004-04-15 Sony Corp 半導体装置の製造方法
JP3909283B2 (ja) * 2002-10-31 2007-04-25 富士通株式会社 半導体装置の製造方法
JP2004165336A (ja) 2002-11-12 2004-06-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4173374B2 (ja) * 2003-01-08 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2004253659A (ja) * 2003-02-20 2004-09-09 Renesas Technology Corp 半導体装置の製造方法
US20040209458A1 (en) * 2003-04-16 2004-10-21 Tsai Shin Yi Semiconductor device having rounding profile structure for reducing step profile and manufacturing processing stress and its manufacturing method
DE102004015862B4 (de) * 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
KR100593446B1 (ko) * 2004-05-19 2006-06-28 삼성전자주식회사 유기성 플루오라이드 계열 완충 용액을 사용해서 반도체장치를 제조하는 방법들
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208620A (ja) * 1999-01-11 2000-07-28 Mitsubishi Electric Corp 半導体装置の製造方法
JP2001230317A (ja) * 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
JP2004526868A (ja) * 2001-05-04 2004-09-02 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd
JP2003218114A (ja) * 2002-01-22 2003-07-31 Toshiba Corp 半導体装置及びその製造方法
JP2004040019A (ja) * 2002-07-08 2004-02-05 Matsushita Electric Ind Co Ltd 金属配線の形成方法
JP2004356521A (ja) * 2003-05-30 2004-12-16 Nec Electronics Corp 半導体装置およびその製造方法
JP2005191254A (ja) * 2003-12-25 2005-07-14 Fujitsu Ltd 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278330A (ja) * 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP2014056991A (ja) * 2012-09-13 2014-03-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TWI324375B (en) 2010-05-01
US20070218671A1 (en) 2007-09-20
US20100193965A1 (en) 2010-08-05
CN101043021B (zh) 2010-06-16
US8546949B2 (en) 2013-10-01
US7906433B2 (en) 2011-03-15
CN101043021A (zh) 2007-09-26
JP4728153B2 (ja) 2011-07-20
TW200737406A (en) 2007-10-01

Similar Documents

Publication Publication Date Title
JP4728153B2 (ja) 半導体装置の製造方法
US6910907B2 (en) Contact for use in an integrated circuit and a method of manufacture therefor
US7381637B2 (en) Metal spacer in single and dual damascence processing
US9607883B2 (en) Trench formation using rounded hard mask
US20150091172A1 (en) Pore sealing techniques for porous low-k dielectric interconnect
US6759324B1 (en) Method of forming a low resistance contact to underlying aluminum interconnect by depositing titanium in a via opening and reacting the titanium with the aluminum
US10453794B2 (en) Interconnect structure for semiconductor devices
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR101090372B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20060019357A (ko) 반도체 소자의 제조 방법
JP2000216239A (ja) 銅内部結線の形成方法
KR100483838B1 (ko) 금속배선의 듀얼 다마신 방법
TWI512894B (zh) 金屬內連線結構及其製程
US20070293034A1 (en) Unlanded via process without plasma damage
KR20010025972A (ko) 반도체 장치의 배선 형성방법
KR100613376B1 (ko) 반도체 소자의 제조 방법
KR100924545B1 (ko) 반도체 소자의 제조방법
KR100950761B1 (ko) 반도체 소자의 제조방법
KR100928107B1 (ko) 반도체 소자 및 그 제조 방법
KR20090079727A (ko) 반도체 소자의 금속배선 형성방법
KR20110071267A (ko) 반도체 소자의 금속배선 및 그 제조방법
KR20020090441A (ko) 반도체 소자의 구리배선 형성방법
JP2008021865A (ja) 配線構造、半導体装置、配線の製造方法および半導体装置の製造方法
KR20040077042A (ko) 다마신 금속 배선 형성방법
JP2003068846A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100422

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110412

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110414

R150 Certificate of patent or registration of utility model

Ref document number: 4728153

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350