JP2006100558A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2006100558A
JP2006100558A JP2004284794A JP2004284794A JP2006100558A JP 2006100558 A JP2006100558 A JP 2006100558A JP 2004284794 A JP2004284794 A JP 2004284794A JP 2004284794 A JP2004284794 A JP 2004284794A JP 2006100558 A JP2006100558 A JP 2006100558A
Authority
JP
Japan
Prior art keywords
layer
insulating film
semiconductor device
semiconductor substrate
peeling prevention
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004284794A
Other languages
English (en)
Other versions
JP4966487B2 (ja
Inventor
Mitsuo Umemoto
光雄 梅本
Kojiro Kameyama
工次郎 亀山
Akira Suzuki
彰 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kanto Sanyo Semiconductors Co Ltd
Sanyo Electric Co Ltd
Original Assignee
Kanto Sanyo Semiconductors Co Ltd
Sanyo Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kanto Sanyo Semiconductors Co Ltd, Sanyo Electric Co Ltd filed Critical Kanto Sanyo Semiconductors Co Ltd
Priority to JP2004284794A priority Critical patent/JP4966487B2/ja
Priority to TW094129820A priority patent/TWI305020B/zh
Priority to KR1020050088565A priority patent/KR100648122B1/ko
Priority to CNB2005101068638A priority patent/CN100530609C/zh
Priority to US11/236,881 priority patent/US7382037B2/en
Publication of JP2006100558A publication Critical patent/JP2006100558A/ja
Priority to US12/109,800 priority patent/US7906430B2/en
Application granted granted Critical
Publication of JP4966487B2 publication Critical patent/JP4966487B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49156Manufacturing circuit on or in base with selective destruction of conductive paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】貫通電極を有した半導体装置において、保護膜や絶縁膜の剥離を防止し、半導体装置の信頼性を向上する。
【解決手段】半導体装置100のコーナー部に、絶縁膜17、保護層23の剥離を防止するための剥離防止層30を形成する。剥離防止層30はコーナー部以外の半導体装置10の空きスペース、例えば、ボール状の導電端子24の間に配置することでさらに剥離防止効果を高めることができる。その断面構造は、半導体基板10の裏面に形成された絶縁膜17上に剥離防止層30が形成され、この絶縁膜17及び剥離防止層30を被覆するようにソルダーレジスト等から成る保護層23が形成される。剥離防止層30は、電解メッキ法により形成する場合には、バリアシード層20と上層の銅層25からなる積層構造を有する。
【選択図】 図3

Description

本発明は、半導体装置及びその製造方法に関し、特に、貫通電極を有する半導体装置及びその製造方法に関するものである。
近年、新たなパッケージ技術として、CSP(Chip Size Package)が注目されている。CSPとは、半導体チップの外形寸法と略同サイズの外形寸法を有する小型パッケージをいう。
従来より、CSPの一種として、貫通電極を有したBGA型の半導体装置が知られている。このBGA型の半導体装置は、半導体基板を貫通してその表面のパッド電極と接続された貫通電極を有する。この半導体装置の裏面には、半田等の金属部材から成るボール状の導電端子が格子状に複数配列され、これらの導電端子は配線層を介して前記貫通電極に接続されている。そして、この半導体装置を電子機器に組み込む際には、各導電端子を回路基板、例えばプリント基板上の配線パターンに接続している。
このBGA型の半導体装置は、側部に突出したリードピンを有するSOP(Small Outline Package)やQFP(Quad Flat Package)等の他のCSP型の半導体装置に比べて、多数の導電端子を設けることができ、しかも小型化できるという長所を有する。
図19は貫通電極を有したBGA型の半導体装置の貫通電極部の断面図である。シリコン(Si)等から成る半導体基板10の表面にはパッド電極11が層間絶縁膜12を介して形成されている。さらに半導体基板10の表面には、例えばガラス基板のような支持体13が樹脂層14を介して接着されている。また、半導体基板10を貫通し、パッド電極11に到達するビアホール16が形成されている。このビアホール16の側壁及び半導体基板10の裏面にはシリコン酸化膜(SiO膜)もしくはシリコン窒化膜(SiN膜)等から成る絶縁膜17が形成されている。
さらに、ビアホール16の中にはパッド電極11と接続されたバリアシード層20及び貫通電極21が形成されている。半導体基板10の表面には、貫通電極21と繋がった配線層22が延在している。そして、半導体基板10の裏面の貫通電極21、配線層22及び絶縁膜17を被覆して、ソルダーレジストから成る保護層23が形成されている。配線層22上の保護層23には開口部が形成され、この開口部を通して配線層22と接続されたボール状の導電端子24が形成されている。
特開2003−309221号公報
しかしながら、上述したBGA型の半導体装置では、その耐久試験の1つとして熱サイクル負荷試験を行うと、図20に示すように、主として半導体装置の4つのコーナー部(ダイシング後の半導体基板10のコーナー部)において、保護膜23が剥離したり、若しくは保護膜23とその下層の絶縁膜17の両方が半導体基板10から剥離してしまい、半導体装置の信頼性が劣化するという問題があった。その原因は、半導体装置が熱サイクル負荷を受ける過程で、保護膜23や絶縁膜17に熱応力が加わり、その熱応力に耐えきれずに保護膜23とその下層の絶縁膜17が剥離するためと考えられる。
本発明の半導体装置は、半導体基板と、前記半導体基板の表面に第1の絶縁膜を介して形成されたパッド電極と、前記半導体基板を貫通し前記パッド電極に到達するビアホールと、前記ビアホールの側壁及び半導体基板の裏面を覆う第2の絶縁膜と、前記ビアホールの中に形成され前記パッド電極と接続された貫通電極と、前記第2の絶縁膜上に形成された剥離防止層と、前記貫通電極、前記第2の絶縁膜及び前記剥離防止層を被覆する保護層と、を備えることを特徴とするものである。
かかる構成によれば、剥離防止層のアンカー効果によりその上層の保護層の剥離が防止され、保護層の下層にある第2の絶縁膜についても剥離しにくくなる。また、前記剥離防止層は前記半導体基板のコーナー部に設けられたことを特徴とする。保護膜の剥離は応力が集中する半導体基板のコーナー部で生じ易いことから、その部分に剥離防止層を配置することで保護膜等の剥離を効果的に防止できる。
さらに、前記半導体基板の裏面に溝又は穴部が形成され、前記第2の絶縁膜及び前記剥離防止層の一部がこの溝又は穴部の中に配置されていることを特徴とする。かかる構成により、特に、第2の絶縁膜に対してアンカー効果が得られることで、さらに剥離防止効果が高まる。さらにまた、前記保護層が複数の島領域に分割されていることを特徴とするものである。かかる構成によれば、保護層にかかる熱応力が緩和されるので、保護層の剥離防止に効果がある。さらに、剥離進展防止効果がある。
また、本発明の半導体装置の製造方法は、その表面に第1の絶縁膜を介してパッド電極が形成された半導体基板を準備し、前記パッド電極に対応する位置に前記半導体基板を貫通するビアホールを形成する工程と、前記ビアホールの側壁及び前記半導体基板の裏面を被覆する第2の絶縁膜を形成する工程と、前記ビアホールの中に前記パッド電極と接続された貫通電極及び、前記半導体基板の裏面上の前記第2の絶縁膜上の剥離防止層とを同時に形成する工程と、前記貫通電極、前記第2の絶縁膜及び前記剥離防止層を被覆する保護層を形成する工程とを備えることを特徴とするものである。
この製造方法によれば、保護層等の剥離防止効果が得られることに加え、貫通電極と剥離防止層とを同時に形成しているので、剥離防止層を形成するための特別の工程を設けなくても良いという製造方法に特有の効果が得られる。貫通電極と剥離防止層とは電解メッキ法により同時形成することが製造工程を短縮する上で望ましい。
本発明の半導体装置によれば、貫通電極を有した半導体装置において、保護膜や絶縁膜の剥離を防止できるので、半導体装置の信頼性を向上することができる。
次に、本発明の第1の実施形態について図面を参照しながら説明する。図1は半導体装置100を裏面から見た平面であり、図2はそのコーナー部の拡大平面図、図3は図1、図2のX−X線に沿った断面図である。また、図11(a)は、図1のY−Y線に沿った断面図である。
この半導体装置100の裏面には、図1に示すように、複数のボール状の導電端子24がマトリクス状に配置され、個々の導電端子24は貫通電極21、配線層22を通して、半導体装置の表面のパッド電極11に接続されているものである。図11(a)の断面図は、従来例で説明した図19と基本的に同じものである。
本実施形態では半導体装置100の4つのコーナー部に、絶縁膜17、保護層23の剥離を防止するための剥離防止層30が形成されている。剥離防止層30はコーナー部以外の半導体装置10の空きスペース、例えば、ボール状の導電端子24の間に配置することでさらに剥離防止効果を高めることができる。剥離防止層30のパターン形状は、任意であるが、例えば図2の十字状形状でもよいし、矩形でもよい。
その断面構造は図3に示すように、半導体基板10の裏面に形成された絶縁膜17上に剥離防止層30が形成され、この絶縁膜17及び剥離防止層30を被覆するようにソルダーレジスト等から成る保護層30が形成される。剥離防止層30は、電解メッキ法により形成する場合には、バリアシード層20と上層の銅層25からなる積層構造を有することになるが、保護層30と密着性が良い単一金属層から構成されてもよい。一般に、酸化膜などの絶縁膜と比較して、銅はソルダーレジスト等からなる保護層23との密着性がよく、アンカー効果が大きいことから、剥離防止層30には少なくとも銅層を含むことが好ましい。
上述の剥離防止層30が設けられた半導体装置100の製造方法を図4乃至図11を参照して説明する。ここで、図4乃至図11における上側の図(a)は図1のY−Y線に沿った断面図に対応しており、下側の図(b)は図1のX−X線に沿った断面図に対応するものである。
まず、図4に示すように、不図示の電子デバイスが表面に形成された半導体基板10を準備する。不図示の電子デバイスは、例えば、CCD(Charge Coupled Device)や赤外線センサ等の受光素子、もしくは発光素子であるものとする。もしくは、不図示の電子デバイスは、上記受光素子や発光素子以外の電子デバイスであってもよい。
さらに、半導体基板10の表面には、不図示の電子デバイスと接続された外部接続用電極であるパッド電極11が形成されている。パッド電極11は、第1の絶縁膜である層間絶縁膜12を介して半導体基板10の表面に形成されている。
ここで、半導体基板10は例えばシリコン(Si)から成り、好ましくは約20〜200μmの膜厚を有している。また、パッド電極11は、例えばアルミニウム(Al)から成り、好ましくは約1μmの膜厚を有して形成される。また、層間絶縁膜12は、例えば酸化膜から成り、好ましくは約0.8μmの膜厚を有して形成される。
また、半導体基板10の表面には、必要に応じて支持体13が形成されてもよい。この支持体13は、樹脂層14を介して半導体基板10の表面に形成されている。ここで、不図示の電子デバイスが受光素子や発光素子である場合、支持体13は、例えばガラスのような透明もしくは半透明の性状を有した材料により形成されている。不図示の電子デバイスが受光素子や発光素子ではない場合、支持体13は、透明もしくは半透明の性状を有さない材料により形成されるものであってもよい。 また、支持体13はテープ状のものであってもよい。この支持体13は、後の工程において除去されるものであってもよい。もしくは、支持体13は、除去されずに残されてもよい。
次に、図5に示すように、半導体基板10の裏面上に、選択的に第1のレジスト層15aを形成する。即ち、第1のレジスト層15aは、半導体基板10の裏面上のうち、パッド電極11に対応する位置に開口部を有している。次に、この第1のレジスト層15aをマスクとして、好ましくはドライエッチング法により、半導体基板10をエッチングする。ドライエッチングのエッチングガスとしては公知のCHF等を用いることができる。
このエッチングにより、パッド電極11に対応する位置の半導体基板10を当該裏面から当該表面に至って貫通するビアホール16が形成される。ビアホール16の底部では、層間絶縁膜12が露出され、その下方にパッド電極11が接している。さらに、第1のレジスト層15aをマスクとして、ドライエッチングもしくはウェットエッチングにより、ビアホール16の底部で露出する層間絶縁膜12をエッチングして薄膜化するか、もしく完全に除去する。あるいは、層間絶縁膜12のエッチング工程は、この段階では行われずに、後述する他のエッチング工程と同時に行われてもよい。
次に、第1のレジスト層15aを除去した後、図6に示すように、ビアホール16内を含む半導体基板10の裏面の全面に、第2の絶縁膜である絶縁膜17を形成する。ここで、絶縁膜17は、例えばシリコン酸化膜(SiO膜)もしくはシリコン窒化膜(SiN膜)から成り、例えばプラズマCVD法によって形成される。
次に、図7に示すように、絶縁膜17上に、第2のレジスト層18を形成する。次に、図8のように、第2のレジスト層18をマスクとして、ビアホール16の底部の絶縁膜17(層間絶縁膜12が残存している場合はこれも含む)をエッチングして除去する。このエッチングは、例えば反応性イオンエッチングであることが好ましいが、その他のエッチングであってもよい。上記エッチングにより、ビアホール16の側壁に形成された絶縁膜17を残存させつつ、当該底部の絶縁膜17を除去してパッド電極11を露出することができる。上記エッチングの後、第2のレジスト層18を除去する。
次に、図9に示すように、ビアホール16を含む半導体基板10の裏面の絶縁膜17上に、バリアシード層20を形成する。バリアシード層20は、不図示のバリアメタル層とシード層とから成る積層構造を有している。ここで、上記バリアメタル層は、例えばチタンタングステン(TiW)層、チタンナイトライド(TiN)層、もしくはタンタルナイトライド(TaN)層等の金属から成る。上記シード層は、後述する配線層22をメッキ形成するための電極となるものであり、例えば銅(Cu)等の金属から成る。バリアシード層20は、例えば、スパッタ法、CVD法、無電界メッキ法、もしくはその他の成膜方法によって形成される。なお、ビアホール16の側壁の絶縁膜17がシリコン窒化膜(SiN膜)により形成されている場合には、当該シリコン窒化膜(SiN膜)が銅拡散に対するバリアとなるため、バリアシード層20は、銅(Cu)から成るシード層のみから成る単層構造を有していてもよい。
次に、ビアホール16内を含むバリアシード層20上に、例えば電界メッキ法により、銅(Cu)から成る貫通電極21、及びこの貫通電極21と連続して接続された配線層22を形成する。メッキ膜厚は、貫通電極21がビアホール16内に完全もしくは不完全に埋め込まれるような厚さに調整される。ここで、貫通電極21及び配線層22は、バリアシード層20を介して、ビアホール16の底部で露出するパッド電極11と電気的に接続される。この電解メッキにより、半導体装置のコーナー部においては図9(b)に示すように、配線層22と連続した銅層25がバリアシード層20上に形成される。
次に、図10に示すように、半導体基板10の裏面の配線層22上及び銅層25上に、配線層22及び銅層25を所定のパターンにパターニングするための第3のレジスト層15bを選択的に形成する。第3のレジスト層15bは、所定のパターンに対応して残存させる配線層22及び銅層25の領域上に形成される。残存させる配線層22の領域は、少なくともビアホール16の形成領域、剥離防止層30の形成領域を含む。
次に、第3のレジスト層15bをマスクとして、不要な配線層22、銅層25、及びバリアシード層20をエッチングして除去する。このエッチングにより、配線層22が所定の配線パターンにパターニングされる。一方、図10(b)においては、このエッチングにより銅層25及びバリアシード層20からなる剥離防止層30が形成される。
次に、図11に示すように、第2のレジスト層15bを除去した後、半導体基板10の裏面上に、これを被覆して、例えばソルダーレジストのようなレジスト材料等から成る保護層23を形成する。保護層23のうち配線層22に対応する位置には開口部が設けられる。そして、当該開口部で露出する配線層22上に、例えばハンダ等の金属から成るボール状の導電端子24がスクリーン印刷法を用いて形成される。
以上の工程により、コーナー部に剥離防止層30を有し、半導体チップ10及びそれに積層された各層から成る半導体装置100が完成する。以上の工程はウエハー工程により行われるため、1枚のウエハーに多数の半導体装置100が同時に形成されることになる。そこで、多数の半導体装置100の境界であるダイシングラインに沿ってダイシングを行うことにより、図1に示すような個々の半導体装置100に切断分離する。
次に、本発明の第2の実施形態について図面を参照しながら説明する。図12は半導体装置100のコーナー部の拡大平面図、図13は図12のX−X線に沿った断面図である。本実施形態が第1の実施形態と異なる点は、半導体基板100の裏面に溝又は穴部28が形成され、絶縁膜17及び剥離防止層30の一部がこの溝又は穴部28の中に配置されるようにした点である。これにより、特に、絶縁膜17と半導体基板10とが溝又は穴部28のアンカー効果により強く密着するため、さらに剥離防止効果が高まる。
本実施形態の半導体装置の製造方法について図14乃至図16を参照して説明する。図14乃至図16における上側の図(a)は図1のY−Y線に沿った断面図に対応しており、下側の図(b)は図12のX−X線に沿った断面図に対応するものである。
最初に、図14に示すように、第1の実施形態と同様に、不図示の電子デバイスが形成された半導体基板10を準備する。半導体基板10の表面には、不図示の電子デバイスと接続された外部接続用電極であるパッド電極11が形成されている。パッド電極11は、第1の絶縁膜である層間絶縁膜12を介して半導体基板10の表面に形成されている。半導体基板10の表面には、必要に応じて支持体13が形成されてもよい。
次に、図15に示すように、半導体基板10の裏面上に、選択的に第1のレジスト層15aを形成する。即ち、第1のレジスト層15aは、パッド電極11に対応する領域に対応した第1の開口部、剥離防止層30が形成される領域に対応した第2の開口部を有している。ここで、第2開口部は第1の開口部に比して小さく設定する。例えば、第1の開口部が数十μm以上であれば、第2の開口部は約5μmである。
次に、この第1のレジスト層15aをマスクとして、ドライエッチング法により、半導体基板10をエッチングする。エッチングガスとしては公知のCHF等を用いることができる。このエッチングにより、パッド電極11に対応する領域の半導体基板10を貫通するビアホール16及び、半導体基板10を貫通しない溝又は穴部28が形成される。これは、第2の開口部の開口径が比較的小さいため、そこからエッチングガスが中に入りにくいため、ビアホール16が完全に形成された時点で、この部分では半導体基板10が貫通されるには至らないためである。半導体基板10の厚さを130μmとすれば、溝又は穴部28の深さは50μm程度である。その後は、第1の実施形態と同様の工程を施すことにより、図16に示すように溝又は穴部28に部分的に埋め込まれた剥離防止層30を有する半導体装置が得られる。
次に、本発明の第3の実施形態について図面を参照しながら説明する。図17は半導体装置100のコーナー部の拡大平面図、図18(a)は図17のX−X線に沿った断面図である。本実施形態が第1の実施形態と異なる点は、保護層23が複数のスリットSLを隔てて、複数の島領域23Aに分割されていることである。特に、半導体装置100のコーナー部においてそのような島領域23Aを形成することが効果的であるが、半導体装置100の全面に渡って島領域23Aを形成してもよい。このように、ソルダーレジスト等から成る保護層23を複数の島領域23Aに分割することで、熱応力が分散され、保護膜23及び絶縁膜17の剥離を防止することができる。保護層23を複数の島領域23Aに分割する工程は、ボール状の導電端子24を形成するための開口部を保護層23に開口する工程と同時に行うことができる。
また、本実施形態の特徴構成は、第2の実施形態に適用することもできる。即ち、図18(b)に示すように、半導体基板100の裏面に溝又は穴部28が形成され、絶縁膜17及び剥離防止層30の一部がこの溝又は穴部28の中に配置される。そして、半導体装置100のコーナー部もしくは、半導体装置100の全面に渡って、島領域23Aを形成する。
更には、剥離防止層30を形成することなく、保護層23にスリットを入れるものであっても良い。
本発明の第1の実施形態に係る半導体装置の平面である。 本発明の第1の実施形態に係る半導体装置のコーナー部の拡大平面図である。 図2のX−X線に沿った断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第2の実施形態に係る半導体装置のコーナー部の拡大平面図である。 図12のX−X線に沿った断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を示す断面図である。 本発明の第2の実施形態に係る半導体装置のコーナー部の拡大平面図である。 図17のX−X線に沿った断面図である。 従来例の半導体装置の貫通電極部の断面図である。 従来例の半導体装置のコーナー部の断面図である。

Claims (16)

  1. 半導体基板と、前記半導体基板の表面に第1の絶縁膜を介して形成されたパッド電極と、前記半導体基板を貫通し前記パッド電極に到達するビアホールと、前記ビアホールの側壁及び半導体基板の裏面を覆う第2の絶縁膜と、前記ビアホールの中に形成され前記パッド電極と接続された貫通電極と、前記第2の絶縁膜上に形成された剥離防止層と、前記貫通電極、前記第2の絶縁膜及び前記剥離防止層を被覆する保護層と、を備えることを特徴とする半導体装置。
  2. 前記剥離防止層は前記半導体基板のコーナー部に設けられたことを特徴とする請求項1に記載の半導体装置。
  3. 前記半導体基板の裏面に溝又は穴部が形成され、前記第2の絶縁膜及び前記剥離防止層の一部がこの溝又は穴部の中に配置されていることを特徴とする請求項1又は請求項2に記載の半導体装置。
  4. 前記保護層が複数の島領域に分割されていることを特徴とする請求項1、2、3のいずれか1項に記載の半導体装置。
  5. 前記剥離防止層は少なくとも銅層を含むことを特徴とする請求項1、2、3、4のいずれか1項に記載の半導体装置。
  6. 半導体基板と、前記半導体基板の表面に第1の絶縁膜を介して形成されたパッド電極と、前記半導体基板を貫通し前記パッド電極に到達するビアホールと、前記ビアホールの側壁及び半導体基板の裏面を覆う第2の絶縁膜と、前記ビアホールの中に形成され前記パッド電極と接続された貫通電極と、前記貫通電極と接続され前記半導体基板の裏面の前記絶縁膜上を延在する配線層と、前記第2の絶縁膜上に形成された剥離防止層と、前記貫通電極、前記第2の絶縁膜、前記配線層及び前記剥離防止層を被覆する保護層と、前記配線層上に形成された前記保護層の開口部を通して前記配線層に接続された導電端子と、を備えることを特徴とする半導体装置。
  7. 前記剥離防止層は前記半導体基板のコーナー部に設けられたことを特徴とする請求項6に記載の半導体装置。
  8. 前記半導体基板の裏面に溝又は穴部が形成され、前記第2の絶縁膜及び前記剥離防止層の一部がこの溝又は穴部の中に配置されていることを特徴とする請求項6又は請求項7に記載の半導体装置。
  9. 前記保護層が複数の島領域に分割されていることを特徴とする請求項6、7、8のいずれか1項に記載の半導体装置。
  10. 前記剥離防止層は少なくとも銅層を含むことを特徴とする請求項6、7、8、9のいずれか1項に記載の半導体装置。
  11. その表面に第1の絶縁膜を介してパッド電極が形成された半導体基板を準備し、
    前記パッド電極に対応する位置に前記半導体基板を貫通するビアホールを形成する工程と、
    前記ビアホールの側壁及び前記半導体基板の裏面を被覆する第2の絶縁膜を形成する工程と、
    前記ビアホールの中に前記パッド電極と接続された貫通電極及び、前記半導体基板の裏面上の前記第2の絶縁膜上の剥離防止層とを同時に形成する工程と、
    前記前記貫通電極、前記第2の絶縁膜及び前記剥離防止層を被覆する保護層を形成する工程とを備えることを特徴とする半導体装置の製造方法。
  12. 前記貫通電極及び前記剥離防止層は電解メッキ法により形成されることを特徴とする請求項11に記載の半導体装置の製造方法。
  13. 前記剥離防止層は前記半導体基板のコーナー部に形成されることを特徴とする請求項11又は請求項12に記載の半導体装置の製造方法。
  14. 前記ビアホールと同時に前記半導体基板の裏面に溝又は穴部をエッチングにより形成する工程とを備え、前記第2の絶縁膜及び前記剥離防止層の一部がこの溝又は穴部の中に形成されることを特徴とする請求項11、12、13のいずれか1項に記載の半導体装置の製造方法。
  15. 前記保護層を複数の島領域に分割する工程を備えることを特徴とする請求項11、12、13、14のいずれか1項に記載の半導体装置の製造方法。
  16. 前記剥離防止層は少なくとも銅層を含むことを特徴とする請求項11、12、13、14、15のいずれか1項に記載の半導体装置の製造方法。
JP2004284794A 2004-09-29 2004-09-29 半導体装置及びその製造方法 Active JP4966487B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004284794A JP4966487B2 (ja) 2004-09-29 2004-09-29 半導体装置及びその製造方法
TW094129820A TWI305020B (en) 2004-09-29 2005-08-31 Semiconductor device and manufacturing process therefor
KR1020050088565A KR100648122B1 (ko) 2004-09-29 2005-09-23 반도체 장치 및 그 제조 방법
CNB2005101068638A CN100530609C (zh) 2004-09-29 2005-09-26 半导体装置及其制造方法
US11/236,881 US7382037B2 (en) 2004-09-29 2005-09-28 Semiconductor device with a peeling prevention layer
US12/109,800 US7906430B2 (en) 2004-09-29 2008-04-25 Method of manufacturing a semiconductor device with a peeling prevention layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004284794A JP4966487B2 (ja) 2004-09-29 2004-09-29 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2006100558A true JP2006100558A (ja) 2006-04-13
JP4966487B2 JP4966487B2 (ja) 2012-07-04

Family

ID=36124738

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004284794A Active JP4966487B2 (ja) 2004-09-29 2004-09-29 半導体装置及びその製造方法

Country Status (5)

Country Link
US (2) US7382037B2 (ja)
JP (1) JP4966487B2 (ja)
KR (1) KR100648122B1 (ja)
CN (1) CN100530609C (ja)
TW (1) TWI305020B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006318988A (ja) * 2005-05-10 2006-11-24 Matsushita Electric Ind Co Ltd 半導体装置
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2010129577A (ja) * 2008-11-25 2010-06-10 Panasonic Corp 半導体装置
JP2010129952A (ja) * 2008-12-01 2010-06-10 Nippon Telegr & Teleph Corp <Ntt> 貫通電極配線の製造方法
JP2011082524A (ja) * 2009-10-09 2011-04-21 Taiwan Semiconductor Manufacturing Co Ltd スルーシリコンビア(tsv)ワイヤボンド構造
JP2011193021A (ja) * 2011-06-01 2011-09-29 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2011249718A (ja) * 2010-05-31 2011-12-08 Panasonic Corp 半導体装置及びその製造方法
JP2012033576A (ja) * 2010-07-28 2012-02-16 Sumitomo Electric Device Innovations Inc 半導体装置及び製造方法
KR20160051688A (ko) * 2013-06-29 2016-05-11 인텔 코포레이션 비아들과 조합되는 미세 피치 후면측 금속 재분포 라인들을 포함하는 상호접속 구조
WO2017150343A1 (ja) * 2016-03-03 2017-09-08 株式会社デンソー 半導体装置

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7946331B2 (en) 2005-06-14 2011-05-24 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US8786092B2 (en) * 2005-06-17 2014-07-22 Rohm Co., Ltd. Semiconductor integrated circuit device
US7687397B2 (en) * 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
JP4302720B2 (ja) * 2006-06-28 2009-07-29 株式会社沖データ 半導体装置、ledヘッド及び画像形成装置
US7670874B2 (en) 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
CN102224579B (zh) * 2008-11-25 2013-12-04 松下电器产业株式会社 半导体装置及电子设备
US8426938B2 (en) 2009-02-16 2013-04-23 Samsung Electronics Co., Ltd. Image sensor and method of fabricating the same
KR101571353B1 (ko) 2009-02-16 2015-11-24 삼성전자 주식회사 이미지 센서 및 그의 제조 방법
US8399987B2 (en) * 2009-12-04 2013-03-19 Samsung Electronics Co., Ltd. Microelectronic devices including conductive vias, conductive caps and variable thickness insulating layers
EP2357665A3 (en) * 2010-01-20 2014-01-01 Xintec Inc. Chip package and method for fabricating the same
US20110204517A1 (en) * 2010-02-23 2011-08-25 Qualcomm Incorporated Semiconductor Device with Vias Having More Than One Material
US8896136B2 (en) * 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
EP2655700A1 (en) * 2010-12-23 2013-10-30 Centre de Recherche Public - Gabriel Lippmann An ecpr master electrode and a method for providing such ecpr master electrode
US8546900B2 (en) 2011-06-09 2013-10-01 Optiz, Inc. 3D integration microelectronic assembly for integrated circuit devices
US20140151095A1 (en) * 2012-12-05 2014-06-05 Samsung Electro-Mechanics Co., Ltd. Printed circuit board and method for manufacturing the same
CN103367139B (zh) * 2013-07-11 2016-08-24 华进半导体封装先导技术研发中心有限公司 一种tsv孔底部介质层刻蚀方法
CN104617036B (zh) * 2015-01-14 2018-07-27 华天科技(昆山)电子有限公司 晶圆级芯片尺寸封装中通孔互连的制作方法
KR102619817B1 (ko) * 2022-05-19 2024-01-02 세메스 주식회사 반도체 소자의 형성 방법 및 반도체 소자의 형성을 위한 기판 처리 시스템

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59163841A (ja) * 1983-03-08 1984-09-14 Toshiba Corp 樹脂封止型半導体装置
JPS61269333A (ja) * 1985-05-24 1986-11-28 Hitachi Ltd 半導体装置
JPH0371630U (ja) * 1989-11-17 1991-07-19
JPH1167755A (ja) * 1997-08-21 1999-03-09 Seiko Epson Corp 半導体の構造
JPH11251320A (ja) * 1997-11-26 1999-09-17 St Microelectronics Srl 超小形集積電子デバイスにおける両面貫通接触の形成方法及び本方法で形成された超小形集積電子デバイス
JP2002530891A (ja) * 1998-11-20 2002-09-17 ギーゼッケ ウント デフリエント ゲーエムベーハー 両面プロセス集積回路の作成方法
JP2003309221A (ja) * 2002-04-15 2003-10-31 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2004207324A (ja) * 2002-12-24 2004-07-22 Fujikura Ltd 半導体装置とその製造方法及び電子装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399898A (en) * 1992-07-17 1995-03-21 Lsi Logic Corporation Multi-chip semiconductor arrangements using flip chip dies
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5432999A (en) * 1992-08-20 1995-07-18 Capps; David F. Integrated circuit lamination process
US5424245A (en) * 1994-01-04 1995-06-13 Motorola, Inc. Method of forming vias through two-sided substrate
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6833613B1 (en) * 1997-12-18 2004-12-21 Micron Technology, Inc. Stacked semiconductor package having laser machined contacts
JP2001168093A (ja) * 1999-12-09 2001-06-22 Sharp Corp 半導体装置
US6710446B2 (en) * 1999-12-30 2004-03-23 Renesas Technology Corporation Semiconductor device comprising stress relaxation layers and method for manufacturing the same
JP3879816B2 (ja) * 2000-06-02 2007-02-14 セイコーエプソン株式会社 半導体装置及びその製造方法、積層型半導体装置、回路基板並びに電子機器
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6848177B2 (en) * 2002-03-28 2005-02-01 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
TWI229435B (en) * 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
TWI227050B (en) * 2002-10-11 2005-01-21 Sanyo Electric Co Semiconductor device and method for manufacturing the same
US6833323B2 (en) * 2003-01-29 2004-12-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming patterned features at a semiconductor wafer periphery to prevent metal peeling
JP3800335B2 (ja) * 2003-04-16 2006-07-26 セイコーエプソン株式会社 光デバイス、光モジュール、半導体装置及び電子機器
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59163841A (ja) * 1983-03-08 1984-09-14 Toshiba Corp 樹脂封止型半導体装置
JPS61269333A (ja) * 1985-05-24 1986-11-28 Hitachi Ltd 半導体装置
JPH0371630U (ja) * 1989-11-17 1991-07-19
JPH1167755A (ja) * 1997-08-21 1999-03-09 Seiko Epson Corp 半導体の構造
JPH11251320A (ja) * 1997-11-26 1999-09-17 St Microelectronics Srl 超小形集積電子デバイスにおける両面貫通接触の形成方法及び本方法で形成された超小形集積電子デバイス
JP2002530891A (ja) * 1998-11-20 2002-09-17 ギーゼッケ ウント デフリエント ゲーエムベーハー 両面プロセス集積回路の作成方法
JP2003309221A (ja) * 2002-04-15 2003-10-31 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2004207324A (ja) * 2002-12-24 2004-07-22 Fujikura Ltd 半導体装置とその製造方法及び電子装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006318988A (ja) * 2005-05-10 2006-11-24 Matsushita Electric Ind Co Ltd 半導体装置
JP4675146B2 (ja) * 2005-05-10 2011-04-20 パナソニック株式会社 半導体装置
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2010129577A (ja) * 2008-11-25 2010-06-10 Panasonic Corp 半導体装置
JP4659875B2 (ja) * 2008-11-25 2011-03-30 パナソニック株式会社 半導体装置
JP2010129952A (ja) * 2008-12-01 2010-06-10 Nippon Telegr & Teleph Corp <Ntt> 貫通電極配線の製造方法
JP2011082524A (ja) * 2009-10-09 2011-04-21 Taiwan Semiconductor Manufacturing Co Ltd スルーシリコンビア(tsv)ワイヤボンド構造
JP2011249718A (ja) * 2010-05-31 2011-12-08 Panasonic Corp 半導体装置及びその製造方法
JP2012033576A (ja) * 2010-07-28 2012-02-16 Sumitomo Electric Device Innovations Inc 半導体装置及び製造方法
JP2011193021A (ja) * 2011-06-01 2011-09-29 Mitsubishi Electric Corp 半導体装置及びその製造方法
KR20160051688A (ko) * 2013-06-29 2016-05-11 인텔 코포레이션 비아들과 조합되는 미세 피치 후면측 금속 재분포 라인들을 포함하는 상호접속 구조
KR102101377B1 (ko) * 2013-06-29 2020-04-16 인텔 코포레이션 비아들과 조합되는 미세 피치 후면측 금속 재분포 라인들을 포함하는 상호접속 구조
WO2017150343A1 (ja) * 2016-03-03 2017-09-08 株式会社デンソー 半導体装置

Also Published As

Publication number Publication date
TWI305020B (en) 2009-01-01
US7382037B2 (en) 2008-06-03
CN1755916A (zh) 2006-04-05
JP4966487B2 (ja) 2012-07-04
TW200629442A (en) 2006-08-16
KR100648122B1 (ko) 2006-11-24
US7906430B2 (en) 2011-03-15
US20060071342A1 (en) 2006-04-06
CN100530609C (zh) 2009-08-19
KR20060051564A (ko) 2006-05-19
US20080254618A1 (en) 2008-10-16

Similar Documents

Publication Publication Date Title
JP4966487B2 (ja) 半導体装置及びその製造方法
JP4850392B2 (ja) 半導体装置の製造方法
JP4373866B2 (ja) 半導体装置の製造方法
JP4376715B2 (ja) 半導体装置の製造方法
US5707894A (en) Bonding pad structure and method thereof
JP4307284B2 (ja) 半導体装置の製造方法
KR100563887B1 (ko) 반도체 장치 및 그 제조 방법
KR100658547B1 (ko) 반도체 장치 및 그 제조 방법
JP5537016B2 (ja) 半導体装置および半導体装置の製造方法
JP4775007B2 (ja) 半導体装置及びその製造方法
JP2011009645A (ja) 半導体装置及びその製造方法
JP2007096030A (ja) 半導体装置及びその製造方法
JP2006093367A (ja) 半導体装置の製造方法
US7557017B2 (en) Method of manufacturing semiconductor device with two-step etching of layer
JP2005101268A (ja) 半導体装置の製造方法
JP4936695B2 (ja) 半導体装置及びその製造方法
JP4544902B2 (ja) 半導体装置及びその製造方法
JP5272176B2 (ja) トレンチ絶縁コンタクト端子を有する画像センサの作製方法
JP2004153260A (ja) 半導体装置及びその製造方法
JP2004247522A (ja) 半導体装置及びその製造方法
JP2005260079A (ja) 半導体装置及びその製造方法
JP4845986B2 (ja) 半導体装置
JP5122184B2 (ja) 半導体装置及びその製造方法
JP4769926B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090930

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110614

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20110614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111014

AA91 Notification that invitation to amend document was cancelled

Free format text: JAPANESE INTERMEDIATE CODE: A971091

Effective date: 20111115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120326

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120402

R150 Certificate of patent or registration of utility model

Ref document number: 4966487

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150406

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150406

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150406

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250