JP2005260240A - ハイブリッド結晶方位基板上の集積回路構造及び形成方法(高性能cmossoiデバイス) - Google Patents

ハイブリッド結晶方位基板上の集積回路構造及び形成方法(高性能cmossoiデバイス) Download PDF

Info

Publication number
JP2005260240A
JP2005260240A JP2005066896A JP2005066896A JP2005260240A JP 2005260240 A JP2005260240 A JP 2005260240A JP 2005066896 A JP2005066896 A JP 2005066896A JP 2005066896 A JP2005066896 A JP 2005066896A JP 2005260240 A JP2005260240 A JP 2005260240A
Authority
JP
Japan
Prior art keywords
substrate
type
transistor
type transistor
crystal orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005066896A
Other languages
English (en)
Inventor
J Nowaku Edward
エドワード・ジェイ・ノワク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005260240A publication Critical patent/JP2005260240A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)

Abstract

【課題】ハイブリッド結晶方位基板上の高性能CMOS SOIデバイスを提供すること。
【解決手段】少なくとも2タイプの結晶方位を有する基板を備える集積回路構造を開示する。第1のタイプのトランジスタは、第1のタイプの結晶方位を有する基板の第1の部分の上に位置し、第2のタイプのトランジスタは、第2のタイプの結晶方位を有する基板の第2の部分の上に位置する。第1のタイプのトランジスタおよび第2のタイプのトランジスタの上には、歪み発生層がある。さらに、歪み発生層は、第1のタイプのトランジスタの上では歪み、第2のタイプのトランジスタの上では緩和することができる。
【選択図】図8

Description

本発明は、半導体デバイスに関する。さらに詳細には、本発明は、シリコン・オン・インシュレータ(SOI)デバイスや相補型金属酸化膜半導体(CMOS)デバイスなど、ハイブリッド結晶方位基板上に形成される集積半導体デバイスに関する。特に、本発明は、NFETおよびPFETなど、少なくとも2種類の半導体デバイスを、異なる結晶方位を有する接合基板上に集積する手段を提供する。接合基板上の各デバイスの位置は、特定の結晶方位における当該デバイスの性能によって決まる。例えば、本発明では、(100)面にNFETを形成し、(110)面にPFETを形成する。(100)結晶面はNFETに高い性能を与え、(110)結晶面はPFETに高い性能を与える。
現在の半導体技術では、NFETやPFETなどのCMOSデバイスは、通常、Siなど単一の結晶方位を有する半導体ウェハ上に作製される。特に、今日の半導体デバイスは、(100)結晶方位を有するSiの上に構築されるものがほとんどである。
電子の移動度は、(100)Si面方位で高いことが分かっており、一方、正孔の移動度は、(110)面方位で高いことが分かっている。すなわち、(100)Siにおける正孔の移動度の値は、この結晶方位での対応する電子移動度より約1/4から約1/2の低さとなる。この不一致を補償するために、通常、PFETは、そのプルアップ(pull-up)電流をNFETのプルダウン(pull-down)電流と釣り合わせて一様な回路スイッチングを実現するために、幅を広くして設計される。幅の広いNFETは、かなりのチップ領域を占めるので望ましくない。
一方、(110)Siにおける正孔の移動度は、(100)Siにおける移動度の2倍である。したがって、PFETを(110)面に形成すると、(100)面に形成したPFETより大幅に大きな駆動電流を示す。残念ながら、(110)Si面における電子の移動度は、(100)Si面に比べるとかなり低下している。(110)Si面における電子移動度の低下の一例を、図1に示す。図1において、実線は電子の移動度を示し、破線は正孔の移動度を示している。
上記の説明と図1から推察されるように、(110)Si面は、正孔の移動度が高いのでPFETデバイスには最適であるが、この結晶方位はNFETデバイスには全く不向きである。逆に、(100)Si面は、電子が移動しやすいのでNFETデバイスに最適である。
米国特許出願 第10/708907号
上記の内容に鑑みて、特定のデバイスに最適な性能を与える異なる結晶方位を有する1つの基板上に形成された集積半導体デバイスを提供することが必要とされている。すなわち、1つの基板でありながら、ある種類のデバイス(例えばPFET)をある結晶面(例えば(110)面)に形成する一方で別の種類のデバイス(例えばNFET)を別の結晶面(例えば(100)面)に形成することができる基板を作製することに絶大なる要望がある。
第1の基板構造を第2の基板構造に接合して積層構造(laminated structure)を形成することから始まる集積回路構造を形成する方法を開示する。したがって、積層構造は、第1の結晶方位を有する第1の基板を、第2の結晶方位を有する第2の基板の上に備える。本発明では、この積層構造に、第2の基板まで延びる第1の開口をエッチングにより形成する。次いで、さらに別の材料を第2の基板から成長させ、第1の開口を充填することができる。これにより、積層構造の上部に、第1のタイプの結晶方位を有する第1の部分および第2のタイプの結晶方位を有する第2の部分を備える表面が形成される。さらに、この基板の第1の部分は、非浮動(non-floating)基板部分を含むことができ、この基板の第2の部分は、浮遊(floating)基板部分を含むことができる。
第1のタイプのトランジスタ(例えばN型またはP型)は、基板の第1の部分の上に形成され、第2のタイプのトランジスタは、基板の第2の部分の上に形成される。第1のタイプのトランジスタは、第2のタイプのトランジスタと相補的(complementary)である。第1のタイプのトランジスタおよび第2のタイプのトランジスタは、平面相補型金属酸化膜半導体(CMOS)トランジスタおよびフィン型電界効果トランジスタ(FinFET)のうち一方を含む。本発明では、第1のタイプのトランジスタおよび第2のタイプのトランジスタの上に歪み発生層(straining layer)を形成する。
これにより、少なくとも2タイプの結晶方位を有する基板を備える集積回路構造が作製される。第1のタイプのトランジスタは、第1のタイプの結晶方位を有する基板の第1の部分の上に位置し、第2のタイプのトランジスタは、第2のタイプの結晶方位を有する基板の第2の部分の上に位置する。歪み発生層は、第1のタイプのトランジスタおよび第2のタイプのトランジスタの上に位置する。さらに、歪み発生層は、第1のタイプのトランジスタの上では歪み、第2のタイプのトランジスタの上では緩和する(relax)ことができる。
第1のタイプのトランジスタおよび第2のタイプのトランジスタはシリサイド(silicide)領域を含み、歪み発生層はシリサイド領域の上に位置する。第1のタイプのトランジスタおよび第2のタイプのトランジスタは、基板内に形成されたソース領域およびドレイン領域と、基板上の、ソース領域とドレイン領域の間に形成されたゲート導体とを含み、シリサイド領域は、ゲート導体ならびにソース領域およびドレイン領域の上に形成される。
このように、本発明は、N型トランジスタに有利なタイプの結晶方位をN型トランジスタの下に位置する基板部分に使用し、P型トランジスタにより有利な別のタイプの結晶方位をP型トランジスタの下に位置する基板部分に使用した構造を提供する。これにより、各タイプのトランジスタを、それぞれのタイプのトランジスタに有利な結晶方位を有する、異なるタイプの基板の上に形成することが可能になる。さらに、本発明では、一方または両方のタイプのトランジスタの上に選択的に歪み発生層を配置して、各タイプのトランジスタにとって最も有利な種類の歪みを各タイプのトランジスタに生じさせることができる。
本発明の上記その他の態様および目的は、以下の説明と添付の図面とを併せて考察すれば、より深く認識され理解されるであろう。ただし、本発明の好ましい実施形態とその具体的な多数の詳細を示す以下の説明は、限定を目的としたものではなく、例示を目的としたものであることを理解されたい。多くの変更および改変を、本発明の趣旨を逸脱することなく本発明の範囲内で行うことができ、本発明はこのような改変を全て包含する。
本発明は、図面を参照して以下の詳細な説明を読むことによってより理解されるであろう。
本発明ならびにその様々な特徴および利点は、添付の図面に図示し以下の説明で詳述する非限定的な実施形態に関連してより完全に説明される。図面で図示した各要素は、必ずしも寸法の比率どおりに描いたものではないことに留意されたい。本発明が無用に曖昧になるのを避けるために、周知の構成要素および処理技術についての説明は省略する。本明細書で用いる例は、単に本発明の実施方法の理解を助け、さらに当業者が本発明を実施できるようにするためのものである。したがって、これらの例は、本発明の範囲を限定しないものと理解されたい。
異なる結晶面を有する1つの接合基板上にNFETやPFETなど異なる半導体デバイスを形成する方法を提供する本発明について、本願に添付の図面を参照しながらより詳細に説明する。添付の図面では、同じか、または対応する要素は、同じ参照番号で示してある。
図2は、本発明で利用することができる接合基板10、すなわちハイブリッド基板を示す図である。図示のように、接合基板10は、表面誘電体層18、第1の半導体層16、絶縁層14、および第2の半導体層12を含む。必要なら、接合基板10は、第2の半導体層12の下に位置する第3の半導体層(図示せず)をさらに含むこともできる。その場合の接合基板では、絶縁層をもう1つ設けて、第2の半導体層12を(任意選択の)第3の半導体層から分離する。
接合基板10の表面誘電体層18は、接合前に初期ウェハの1つに既に形成されていたか、あるいはウェハ接合後に熱プロセス(すなわち酸化、窒化もしくは酸窒化)または堆積によって第1の半導体層16の上に形成した、酸化物、窒化物、酸窒化物またはその他の絶縁層である。表面誘電体層18は、その由来に関わらず、約3nmから約500nmの厚さを有する。より好ましくは、約5nmから約20nmの厚さを有する。
第1の半導体層16は、例えばSi、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、およびその他のIII−V族化合物半導体またはII−VI族化合物半導体など、任意の半導体材料から構成される。また、第1の半導体層16は、予備形成したSOI基板からなるSOI層、または例えばSi/SiGeなどの層状半導体を含むこともできる。また、第1の半導体層16は、好ましくは(110)である第1の結晶方位を有することも特徴とする。好ましい結晶方位は(110)であるが、第1の半導体層16は、(111)結晶方位または(100)結晶方位を有することもできる。
第1の半導体層16の厚さは、接合基板10を形成するために使用する初期のウェハによって、変えることができる。ただし、通常は、第1の半導体層16は、約5nmから約500nmの厚さを有し、約5nmから約100nmの厚さであることがより好ましい。
第1の半導体層16と第2の半導体層12の間に位置する絶縁層14は、接合基板10の作製に使用した初期のウェハによって決まる可変の厚さを有する。ただし、通常は、絶縁層14は、約1nmから約500nmの厚さを有し、約5nmから約100nmの厚さであることがより好ましい。絶縁層14は、接合前のウェハの一方または両方に形成した、酸化物またはその他の同様の絶縁材料である。
第2の半導体層12は任意の半導体材料で構成され、その材料は、第1の半導体層16と同じであっても別のものであってもよい。したがって、第2の半導体層12は、例えば、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、およびその他のIII−V族化合物半導体、またはII−VI族化合物半導体などを含むことができる。また、第2の半導体層12は、予備形成したSOI基板からなるSOI層、または例えばSi/SiGeなどの層状半導体を含むこともできる。また、第2の半導体層12は、第1の結晶方位とは異なる第2の結晶方位を有することも特徴とする。第1の半導体層16は(110)面であることが好ましいので、第2の半導体層12の結晶方位は(100)であることが好ましい。好ましい結晶方位は(100)であるが、第2の半導体層12は、(111)結晶面または(110)結晶面構造を有することもできる。
第2の半導体層12の厚さは、接合基板10を形成するために使用する初期のウェハによって、変えることができる。ただし、通常は、第2の半導体層12は、約5nmから約200nmの厚さを有し、約5nmから約100nmの厚さであることがより好ましい。
必要に応じて第3の半導体層を設けた場合には、第3の半導体層を構成する材料は、第2の半導体層12と同じ半導体材料であっても別の半導体材料であってもよい。第3の半導体層の結晶方位は、通常は第2の半導体層と同じであるが、必ず同じになるというわけではない。第3の半導体層は、一般に第2の半導体層12より厚い。第3の層を設けた場合には、絶縁層を設けて、第3の半導体層を第2の半導体層から分離する。
図2に示す接合基板10は、共に接合された2枚の半導体ウェハで構成されている。接合基板10の作製に使用する2枚のウェハは、一方のウェハ(参照番号1で示す)が第1の半導体層16を含み、もう一方のウェハ(参照番号2で示す)が第2の半導体12を含む2枚のSOIウェハである場合(図10参照)、1枚のSOIウェハ(参照番号2)と1枚のバルク半導体ウェハ(参照番号1)である場合(図11参照)、それぞれが絶縁層14を有する2枚のバルク半導体ウェハ(参照番号1および2)である場合(図12参照)、または1枚のSOIウェハ(参照番号2)と、接合中に少なくとも一方のウェハの一部分を分割するために使用することができる水素(H)注入領域などのイオン注入領域11を含む1枚のバルク・ウェハ(参照番号1)である場合(図13参照)などがある。
接合は、最初に2枚のウェハを他に密着させ、必要ならこれらの接触させたウェハに外力を加え、次いで、これら2枚の接触したウェハを互いに接合できる条件下で加熱することによって行う。加熱ステップは、外力を加えて行うことも、加えずに行うこともある。加熱ステップは、通常は、約200℃から約1050℃の温度で、約2時間から約20時間、不活性雰囲気中で行う。より好ましくは、接合は、約200℃から約400℃の温度で、約2時間から約20時間行う。「不活性雰囲気」という用語は、本発明では、HeやAr、N、Xe、Krまたはそれらの混合物などの不活性ガスをその中に含む雰囲気を意味している。接合プロセス中に使用される好ましい雰囲気は、Nである。
2枚のSOIウェハを使用する実施形態では、接合後に、少なくとも一方のSOIウェハのいくつかの材料層を、化学機械的研磨(CMP)や研削(grinding)およびエッチングなどの平坦化プロセスによって除去することができる。平坦化プロセスは、表面誘電体層18に達したときに終了する。
一方のウェハがイオン注入領域を含む実施形態では、接合中にイオン注入領域が多孔性(porous)領域を形成し、これにより当該ウェハのイオン注入領域の上の部分が分離され、例えば図2に示すような接合ウェハが残る。注入領域は、通常は、当業者には周知のイオン注入条件を利用してウェハ表面に注入された水素イオンから構成される。
接合するウェハがいずれも誘電体層を含まない実施形態では、表面誘電体層18は、酸化などの熱プロセス、または化学的気相堆積(CVD)、プラズマ増強CVD、原子層堆積、化学溶液付着およびその他の同様の堆積プロセスなどの従来の堆積プロセスによって、接合したウェハの上に形成することができる。
次いで、接合基板10の一部分を保護し、別の部分を保護しない状態で残すように、図2の接合基板10の所定部分の上にマスク20を形成する。接合基板10の保護された部分は、この基板の第1のデバイス領域22を画定し、保護されない部分は、第2のデバイス領域24を画定する。一実施形態では、マスク20は、フォトレジスト・マスクを接合基板10の表面全体に塗付することによって、表面誘電体層18の所定部分に形成される。フォトレジスト・マスクを塗付した後で、リソグラフィによってマスク・パターンを形成する。リソグラフィは、フォトレジストを放射光パターンで露光するステップと、レジスト現像装置を用いてこのパターンを現像するステップとを含む。こうして得られた接合基板10の所定部分にマスク20が形成された基板の例を、図3に示す。
別の実施形態では、マスク20は、リソグラフィおよびエッチングを利用して形成およびパターン形成した窒化物または酸窒化物の層である。窒化物または酸窒化物のマスク20は、第2の半導体デバイスの領域を画定した後で除去することもできる。
接合基板10にマスク20を形成した後で、第2の半導体層12の表面が露出するように、この基板に1回または複数回のエッチング・ステップを施す。詳細には、本発明のこの時点で用いる1回または複数回のエッチング・ステップでは、表面誘電体層18の保護されていない部分、ならびにその下に位置する第1の半導体層16の部分、および第1の半導体層16を第2の半導体層12から分離する絶縁層14の一部分を除去する。エッチングは、1回のエッチング・ステップで行ってもよいし、あるいは複数のエッチング・ステップを利用してもよい。本発明のこの時点で用いるエッチングとしては、反応性イオン・エッチングやイオン・ビーム・エッチング、プラズマ・エッチング、レーザ・エッチングなどのドライ・エッチング・プロセス、または化学エッチング液を利用するウェット・エッチング・プロセス、あるいはそれらの任意の組合せを利用することができる。本発明の好ましい実施形態では、第2の半導体デバイス領域24内の表面誘電体層18、第1の半導体層16および絶縁層14の保護されていない部分を選択的に除去する際に、反応性イオン・エッチング(RIE)を使用する。エッチング・ステップを行った後で得られる構造の一例を、図4に示す。このエッチング・ステップの後では、保護されている第1のデバイス領域22、すなわち表面誘電体層18、第1の半導体層16、絶縁層14および第2の半導体層12の側壁が露出していることに留意されたい。図示のように、層18、16および14の露出した側壁は、マスク20の最も外側の縁部と位置合わせされている。
次いで、従来のレジスト剥離プロセスを用いて図4に示す構造からマスク20を除去し、次いで露出した側壁上にライナ(liner)またはスペーサ25を形成する。ライナまたはスペーサ25は、堆積およびエッチングによって形成される。ライナまたはスペーサ25は、例えば酸化物などの絶縁材料で構成される。
ライナまたはスペーサ25を形成した後で、露出した第2の半導体層12の上に半導体材料26を形成する。本発明によれば、半導体材料26は、第2の半導体層12の結晶方位と同じ結晶方位を有する。これにより得られる構造の一例を、図5に示す。
半導体材料26は、Siや歪Si、SiGe、SiC、SiGeCまたはそれらの組合せなど、選択的エピタキシャル成長法によって形成することができる任意のSi含有半導体を含むことができる。いくつかの好ましい実施形態では、半導体材料26はSiで構成される。別の好ましい実施形態では、半導体材料は、緩和SiGe合金層の上に位置する歪Si層である。本発明では、半導体材料26を再成長(regrown)半導体材料と呼ぶこともある。
次に、半導体材料26の上面が第1の半導体層16の上面とほぼ面一(同一平面)になるように、図5に示す構造に化学機械的研磨(CMP)または研削などの平坦化プロセスを施す。表面誘電体層18のそれまで保護されていた部分が、この平坦化プロセスの間に除去されることに留意されたい。
実質的に平坦な表面を準備した後で、通常は、浅いトレンチ分離(shallow trench isolation)領域などの分離領域27を形成して、第1の半導体デバイス領域22を第2の半導体デバイス領域24から分離する。分離領域27は、例えばトレンチを画定しエッチングするステップと、必要なら拡散バリヤでトレンチの内側を覆う(lining)ステップと、酸化物などのトレンチ誘電体でトレンチを充填するステップとを含む、当業者には周知の処理ステップを利用して形成される。トレンチ充填後に、この構造を平坦化することができ、必要なら高密度化(densification)プロセス・ステップを行ってトレンチ誘電体を高密度化することもできる。
こうして得られた、分離領域27を含むほぼ平坦な構造の一例を、図6に示す。図示のように、図6の構造は、第1の結晶方位を有する露出した第1の半導体層16と、第2の半導体層12と同じ結晶方位を有する露出していない再成長半導体材料26とを含む。
さらに、図6は、異なるタイプの基板16、26の上に形成された歪み発生層21を示す図である。この処理段階では、当該特定の基板に応じて、歪みを生じるものであればどのようなタイプの層を利用することもできる。歪み発生層21は、電子の移動度を向上させ、正孔の移動度を低下させる引張り歪み、またはその反対の効果をもたらす圧縮歪みのいずれかを生じることができる。例えば、Siは、その膜をウェハ上に堆積させる際に使用したプロセスの詳細に応じて、シリコン基板内に引張り応力または圧縮応力を発生させることができることが分かっている。
これらの図面では、歪み発生層21を両タイプの基板16および26の上に形成する様子を示しているが、本発明では、歪み発生層を形成する際に一方のタイプの基板をマスクして、両タイプの基板のうち一方のみに歪みを生じることもできる。さらに、本発明では、いくつかの技術により、歪み発生層21の歪みを緩和することができる。例えば、歪みを生じたまま残すべき基板は保護し、歪みを緩和すべき基板部分は露出させるマスクを形成することができる。次いで、イオン注入を行って、歪み発生層21の露出部分の歪みを緩和することができる。
図7は、第1の半導体層16の一部の上に第1の半導体デバイス30を形成し、再成長半導体材料26の上に第2の半導体デバイス32を形成した後で形成される集積構造を示す図である。各デバイス領域に存在する半導体デバイスは1つずつしか示していないが、本発明では、それぞれのデバイス領域に各タイプのデバイスを複数形成することも考えられる。本発明によれば、第1の半導体デバイスが第2の半導体デバイスと異なること、および高性能デバイスとなる結晶方位でそれぞれのデバイスが作製されることを条件として、第1の半導体デバイスをPFETまたはNFETにし、第2の半導体デバイスをNFETまたはPFETにすることができる。PFETおよびNFETは、当業者には周知の標準的なCMOS処理ステップを利用して形成される。各FETは、ゲート誘電体、ゲート導体、ゲート導体の上に配置される任意選択のハード・マスク、少なくともゲート導体の側壁に位置するスペーサ、およびソース/ドレイン拡散領域を含む。拡散領域は、図7では参照番号34で示してある。PFETは(110)または(111)方位を有する半導体材料の上に形成され、NFETは(100)または(111)方位を有する半導体表面の上に形成されることに留意されたい。
図8は、図6および図7に示す歪み発生層21ではなく、トランジスタのソース/ドレインおよびゲートを形成した後で歪み発生層23を形成する、本発明の別の実施形態を示す図である。この実施形態では、歪み発生層23は、ソース/ドレイン領域34およびゲート導体32をシリサイド化した後で形成される。この実施形態は、図9に示すようなフィン型トランジスタ(FinFET)の場合に特に有用である。より詳細には、FinFETの場合には、異なるシリコン基板16、26から複数のフィンを形成し、これらのフィンをシリサイド化した後で、歪み発生層23を形成する。
上記説明および図2乃至図9は、異なる2つの結晶方位を有する接合基板の提供、マスキング、エッチング、再成長、平坦化およびデバイス形成を含む、本発明の基本概念を説明するものである。図14乃至図23を参照して行う以下の説明では、(100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する、(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用される処理ステップを例示する。
図14は、本発明のこの実施形態で使用することができる接合基板10を示す図である。接合基板10は、表面誘電体層18、第1の半導体層16、絶縁層14、および第2の半導体層12を含む。必要なら、第3の半導体層を、第2の半導体層12の下に配置することもできる。そのような実施形態では、新たに絶縁層を設けて、第2の半導体層を第3の半導体層から分離する。
図15は、表面誘電体層18の上に窒化物マスク20を形成した後の構造を示している。窒化物マスク20は、CVDなど、従来の堆積プロセスを利用して形成される。
窒化物マスク20を形成した後で、パターン形成したフォトレジスト・マスクを使用してエッチングを行ってマスクにパターン形成し、次いで、もう一度エッチング・プロセスを行ってこのパターンを窒化物マスクから構造に転写する。このエッチングは、第2の半導体層12の上側表面層に達するまで行う。この2回目のエッチング・プロセスのエッチングでは、表面誘電体層18、第1の半導体層16および絶縁層14の一部を除去する。接合基板10にパターンを転写する際には、1回または複数回のエッチング・プロセスを行う。こうして得られたパターン転写後の構造を、図16に示す。
次に、図17に示すように、露出した側壁にスペーサ25を形成する。スペーサ25は、例えば酸化物などの絶縁材料で構成される。保護された第1のデバイス領域の側壁に配置されたスペーサ25は、堆積およびエッチングによって形成される。
スペーサ25を形成した後で、第2の半導体層12の露出表面上に半導体材料26を形成して、例えば図18に示す構造を形成する。上述の歪み発生層21と同様に、歪み発生層41も、この実施形態では使用することができる。これらの歪み発生層の特性は互いに同様である。次いで、図18に示す構造を平坦化して、図19に示すほぼ平坦な構造を形成する。平坦化ステップでは、それまでにエッチングで除去されなかった窒化物マスク20および表面誘電体層18を除去して、第1の半導体層16が露出し、かつ再成長半導体材料26が露出した構造を形成することに留意されたい。露出した第1の半導体層16は、NFETなど第1の半導体デバイスを形成するための領域であり、半導体材料26の露出表面は、PFETなどの第2の半導体デバイスを形成するための領域である。
次に、図20に示すように、図19に示すほぼ平坦な構造の上に、パッド酸化物51およびパッド窒化物52を含む材料スタック50を形成する。材料スタック50のパッド酸化物51は、熱酸化プロセスまたは堆積によって形成され、パッド窒化物52は、熱窒化プロセスまたは堆積によって形成される。パッド窒化物52は、通常は、その下にあるパッド酸化物51より厚い。材料スタック50は、分離領域27用のトレンチ開口の画定に使用される。図21は、図20に示す構造にトレンチ開口29を形成した後の構造を示す図である。トレンチ開口29は、リソグラフィおよびエッチングによって形成される。
トレンチ開口29を画定した後で、トレンチ開口29を、酸化物などのトレンチ誘電体で充填し、第1の半導体層16および再成長半導体材料26に合わせて平坦化する。図22は、トレンチ充填および平坦化を行った後の構造を示す図である。図22に示す構造は、3つのデバイス領域を含む。そのうちの2つを、第1の半導体デバイス30を形成するための第1のデバイス領域22と呼び、3つ目の領域を、第2の半導体デバイス32を形成するための第2のデバイス領域24と呼ぶ。
図23は、第1の半導体デバイス30を第1の半導体層16の一部分の上に形成し、第2の半導体デバイス32を再成長半導体材料26の上に形成した後の集積構造を示す図である。各デバイス領域に存在する半導体デバイスは1つずつしか示していないが、本発明では、それぞれのデバイス領域に各タイプのデバイスを複数形成することも考えられる。本発明によれば、第1の半導体デバイスをPFET(またはNFET)とし、第2の半導体デバイスをNFET(またはPFET)とすることができる。PFETおよびNFETは、当業者には周知の標準的なCMOS処理ステップを用いて形成する。各FETは、ゲート誘電体、ゲート導体、ゲート導体の上に配置される任意選択のハード・マスク、少なくともゲート導体の側壁に配置されるスペーサ、およびソース/ドレイン拡散領域を含む。PFETは(110)または(111)方位を有する表面の上に形成され、NFETは(100)または(111)方位を有する表面の上に形成されることに留意されたい。図23に示す構造では、NFETはSOI類似のデバイスであり、PFETはバルク状の半導体デバイスである。第2の半導体層12の下に第3の半導体層が存在する場合には、3つのデバイスが全てSOI類似のデバイスとなる。
図24乃至図30は、(100)結晶面に形成された2つのNFETと、それらのNFETの間に位置する、(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用される代替の処理方法を示す図である。この代替方法では、最初に、図24に示す接合基板を形成する。接合基板10は、少なくとも、表面誘電体層18、第1の半導体層16、絶縁層14および第2の半導体層12を含む。必要なら、第2の半導体層の下に第3の半導体層を配置することもできる。
次に、接合基板10上に窒化物マスク20を形成して、図25に示す構造を形成する。接合基板10上に窒化物マスク20を形成した後で、窒化物マスク20と表面誘電体18とを合わせてエッチング・マスクとして利用して、分離領域27を形成する。分離領域27は、窒化物マスク20の表面にフォトレジストを塗布し、フォトレジストをパターン形成し、このパターンをフォトレジストから窒化物マスク20に転写し、次に表面誘電体層18に転写することによって、第1の半導体層16が露出する。次いで、露出した第1の半導体層16を、絶縁層14の上面に達するまでエッチングする。次いで、このエッチング・ステップで形成されたトレンチをトレンチ誘電体で充填し、窒化物マスク20の上面に合わせて平坦化する。図26は、トレンチ充填および平坦化を行った後の構造を示す図である。特に、分離領域27を図26に示す。
次いで、分離領域と分離領域の間の材料を除去して、図27に示す構造を形成する。詳細には、分離領域と分離領域の間の材料の除去は、この構造の第1の半導体デバイスを形成するための部分を保護するブロック・マスクを形成し、窒化物マスク20、表面誘電体層18および第1の半導体層16の保護されていない部分を、絶縁層14に達するまでエッチングすることによって行う。
次いで、酸化物などの絶縁材料を選択的に除去するエッチング・ステップを利用して絶縁層14の露出部分を除去し、例えば図28に示す構造を形成する。このエッチング・ステップでは、分離領域27の高さも低くなることに留意されたい。このエッチング・ステップは、第2の半導体層12の上面に達したところで停止する。次いで、残りの窒化物マスク20をこの構造から剥離し、第2の半導体材料12の露出した表面上に半導体材料26を再成長させて、例えば図29に示す構造を形成する。この特定の実施形態では、再成長半導体材料26は、上側歪Si層31を含む。
次いで、図29に示す構造から酸化物を剥離し、第1の半導体層16の露出部分の上に歪Si31を形成する。歪Si層を形成した後で、CMOSデバイス30および32を、それぞれ高性能デバイスを与える結晶方位で形成する。こうして得られた歪Si層の上に形成されたNFETおよびPFETを含む構造の一例を、図30に示す。
したがって、上記に示したように、本発明は、第1の基板構造を第2の基板構造に接合して積層構造を形成することから始まる集積回路構造を形成する方法を提供する。本発明では、この積層構造に、第2の基板まで延びる第1の開口をエッチングによって形成する。次いで、第2の基板からさらに別の材料を成長させて、第1の開口を充填することができる。これにより、積層構造の上部に、第1のタイプの結晶方位を有する第1の部分および第2のタイプの結晶方位を有する第2の部分を有する基板が形成される。基板の第1の部分の上に、第1のタイプのトランジスタ(例えばN型またはP型)を形成し、基板の第2の部分の上に第2のタイプのトランジスタを形成する。本発明では、第1のタイプのトランジスタおよび第2のタイプのトランジスタの上に歪み発生層を形成する。
これにより、少なくとも2タイプの結晶方位を有する基板を備える集積回路構造が作製される。第1のタイプのトランジスタは、第1のタイプの結晶方位を有する基板の第1の部分の上に位置し、第2のタイプのトランジスタは、第2のタイプの結晶方位を有する基板の第2の部分の上に位置する。歪み発生層は、第1のタイプのトランジスタおよび第2のタイプのトランジスタの上に位置する。さらに、歪み発生層は、第1のタイプのトランジスタの上では歪み、第2のタイプのトランジスタの上では緩和することができる。
第1のタイプのトランジスタおよび第2のタイプのトランジスタは、シリサイド領域を含み、歪み発生層はシリサイド領域の上に位置する。第1のタイプのトランジスタおよび第2のタイプのトランジスタは、基板内に形成されたソース領域およびドレイン領域と、基板の上の、ソース領域とドレイン領域の間に形成されたゲート導体とを含み、シリサイド領域は、ゲート導体ならびにソース領域およびドレイン領域の上に形成される。
このように、本発明は、N型トランジスタに有利なタイプの結晶方位をN型トランジスタの下に位置する基板部分に使用し、P型トランジスタにより有利な別のタイプの結晶方位をP型トランジスタの下に位置する基板部分に使用した構造を提供する。これにより、各タイプのトランジスタを、それぞれのタイプのトランジスタに有利な結晶方位を有する、異なるタイプの基板の上に形成することが可能になる。さらに、本発明では、一方または両方のタイプのトランジスタの上に選択的に歪み発生層を配置して、各タイプのトランジスタにとって最も有利な種類の歪みを各タイプのトランジスタに生じさせることができる。
本発明は、nFETおよびpFETにおけるキャリアの移動度を高め、それによりCMOS回路のスイッチング速度を高める、または動作電力を低下させる、あるいはその両方をもたらす。これらのFETの線形性が高くなることは、これらのデバイスを利用したアナログ回路にも有利である。
好ましい実施形態に関連して本発明について説明したが、添付の特許請求の範囲の趣旨および範囲内で様々な修正を加えて本発明を実施することができることを、当業者なら理解するであろう。
Si基板のVgs=1Vにおけるμeffを結晶方位に対してプロットした図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 接合基板の結晶方位の異なる面上に集積CMOSデバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 互いに接合することができる、図2から図7で説明した方法で使用することができる様々なウェハを示す図である。 互いに接合することができる、図2から図7で説明した方法で使用することができる様々なウェハを示す図である。 互いに接合することができる、図2から図7で説明した方法で使用することができる様々なウェハを示す図である。 互いに接合することができる、図2から図7で説明した方法で使用することができる様々なウェハを示す図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に本発明で用いる上記基本的な処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。 (100)結晶面に形成された2つのNFETと、これらのNFETの間に位置する(110)結晶面に形成された1つのPFETとを含む高性能半導体デバイスを形成する際に使用する上記代替の処理方法の1ステップを示す断面図である。
符号の説明
10 接合基板
12 第2の半導体層
14 絶縁層
16 第1の半導体層
18 表面誘電体層
20 マスク
21 歪み発生層
22 第1のデバイス領域
24 第2のデバイス領域
25 スペーサ(ライナ)
26 半導体材料
27 分離領域
29 トレンチ開口
30 第1の半導体デバイス
31 歪Si層
32 第2の半導体デバイス
34 ソース/ドレイン拡散領域

Claims (20)

  1. 少なくとも2タイプの結晶方位を有する基板と、
    第1のタイプの結晶方位を有する前記基板の第1の部分の上に形成された第1のタイプのトランジスタと、
    第2のタイプの結晶方位を有する前記基板の第2の部分の上に形成された第2のタイプのトランジスタと、
    前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタの上に位置する歪み発生層とを含む、集積回路構造。
  2. 前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタがシリサイド領域を含み、前記歪み発生層が前記シリサイド領域の上に位置する、請求項1に記載の構造。
  3. 前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタが、前記基板内に形成されたソース領域およびドレイン領域と、前記基板の上の、前記ソース領域と前記ドレイン領域の間に形成されたゲート導体とを含み、
    前記シリサイド領域が、前記ゲート導体ならびに前記ソース領域および前記ドレイン領域の上に形成される、請求項2に記載の構造。
  4. 前記第1のタイプのトランジスタが、前記第2のタイプのトランジスタと相補的である、請求項1に記載の構造。
  5. 前記基板の前記第1の部分が非浮遊基板部分を含み、前記基板の前記第2の部分が浮遊基板部分を含む、請求項1に記載の構造。
  6. 前記歪み発生層が、前記第1のタイプのトランジスタの上では歪み、前記第2のタイプのトランジスタの上では緩和される、請求項1に記載の構造。
  7. 前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタが、平面相補型金属酸化膜半導体(CMOS)トランジスタおよびフィン型電界効果トランジスタ(FinFET)のうち一方を含む、請求項1に記載の構造。
  8. 少なくとも2タイプの結晶方位を有する基板と、
    第1のタイプの結晶方位を有する前記基板の第1の部分の上に形成されたN型電界効果トランジスタ(NFET)と、
    第2のタイプの結晶方位を有する前記基板の第2の部分の上に形成されたP型電界効果トランジスタ(PFET)と、
    前記NFETおよび前記PFETの上に位置する歪み発生層とを含む、集積回路構造。
  9. 前記NFETおよび前記PFETがシリサイド領域を含み、前記歪み発生層が前記シリサイド領域の上に位置する、請求項8に記載の構造。
  10. 前記NFETおよび前記PFETが、前記基板内に形成されたソース領域およびドレイン領域と、前記基板の上の、前記ソース領域と前記ドレイン領域の間に形成されたゲート導体とを含み、
    前記シリサイド領域が、前記ゲート導体ならびに前記ソース領域および前記ドレイン領域の上に形成される、請求項9に記載の構造。
  11. 前記NFETが、前記PFETと相補的である、請求項8に記載の構造。
  12. 前記基板の前記第1の部分が非浮遊基板部分を含み、前記基板の前記第2の部分が浮遊基板部分を含む、請求項8に記載の構造。
  13. 前記歪み発生層が、前記NFET(N型)の上では歪み、前記PFETの上では緩和される、請求項8に記載の構造。
  14. 前記NFETおよび前記PFETが、平面相補型金属酸化膜半導体(CMOS)トランジスタおよびフィン型電界効果トランジスタ(FinFET)のうち一方を含む、請求項8に記載の構造。
  15. 集積回路構造を形成する方法であって、
    第2の基板構造上に第1の基板構造を接合して、第1の結晶方位を有する第1の基板を第2の結晶方位を有する第2の基板の上に備える積層構造を形成するステップと、
    前記積層構造に、前記第2の基板まで延びる第1の開口をエッチングにより形成するステップと、
    前記第2の基板上にさらに別の材料を成長させて前記第1の開口を充填して、前記積層構造の上部に、前記第1のタイプの結晶方位を有する第1の部分および前記第2のタイプの結晶方位を有する第2の部分を有する基板を形成するステップと、
    前記基板の前記第1の部分の上に第1のタイプのトランジスタを形成するステップと、
    前記基板の前記第2の部分の上に第2のタイプのトランジスタを形成するステップと、
    前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタの上に歪み発生層を形成するステップとを含む方法。
  16. 前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタの上にシリサイド領域を形成するステップをさらに含み、前記歪み発生層が前記シリサイド領域の上に形成される、請求項15に記載の方法。
  17. 前記第1のタイプのトランジスタを形成する前記ステップおよび前記第2のタイプのトランジスタを形成する前記ステップが、前記基板内にソース領域およびドレイン領域を形成し、前記基板上の、前記ソース領域と前記ドレイン領域の間にゲート導体を形成することを含み、前記シリサイド領域が、前記ゲート導体ならびに前記ソース領域および前記ドレイン領域の上に形成される、請求項16に記載の方法。
  18. 前記第1のタイプのトランジスタが、前記第2のタイプのトランジスタと相補的である、請求項15に記載の方法。
  19. 前記歪み発生層の、前記第2のタイプのトランジスタの上に位置する部分において歪みを緩和するステップをさらに含む、請求項15に記載の方法。
  20. 前記第1のタイプのトランジスタおよび前記第2のタイプのトランジスタが、平面相補型金属酸化膜半導体(CMOS)トランジスタおよびフィン型電界効果トランジスタ(FinFET)のうち一方を含む、請求項15に記載の方法。
JP2005066896A 2004-03-12 2005-03-10 ハイブリッド結晶方位基板上の集積回路構造及び形成方法(高性能cmossoiデバイス) Pending JP2005260240A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/708,586 US6995456B2 (en) 2004-03-12 2004-03-12 High-performance CMOS SOI devices on hybrid crystal-oriented substrates

Publications (1)

Publication Number Publication Date
JP2005260240A true JP2005260240A (ja) 2005-09-22

Family

ID=34919644

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005066896A Pending JP2005260240A (ja) 2004-03-12 2005-03-10 ハイブリッド結晶方位基板上の集積回路構造及び形成方法(高性能cmossoiデバイス)

Country Status (4)

Country Link
US (2) US6995456B2 (ja)
JP (1) JP2005260240A (ja)
CN (1) CN100367503C (ja)
TW (1) TWI343649B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123892A (ja) * 2005-10-26 2007-05-17 Internatl Business Mach Corp <Ibm> 半導体構造およびその製作方法(複数の結晶方位を有する半導体基板)
JP2007165665A (ja) * 2005-12-15 2007-06-28 Renesas Technology Corp 半導体装置およびその製造方法
JP2009514247A (ja) * 2005-10-31 2009-04-02 フリースケール セミコンダクター インコーポレイテッド 半導体構造物の製造方法
JP2009200471A (ja) * 2007-12-19 2009-09-03 Interuniv Micro Electronica Centrum Vzw 改良されたキャリア移動度を有するマルチゲート半導体デバイスの製造方法
US7595232B2 (en) 2006-09-07 2009-09-29 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
JP2012516555A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スレッショルド調節半導体合金を堆積させるのに先立ちパターニング不均一性を低減することによる前記半導体合金の厚みばらつきの低減
JP2016529708A (ja) * 2013-08-01 2016-09-23 クアルコム,インコーポレイテッド 異なる材料から基板上にフィンを形成する方法

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7208815B2 (en) * 2004-05-28 2007-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS logic gate fabricated on hybrid crystal orientations and method of forming thereof
US20050275018A1 (en) * 2004-06-10 2005-12-15 Suresh Venkatesan Semiconductor device with multiple semiconductor layers
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US7405436B2 (en) * 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7282425B2 (en) * 2005-01-31 2007-10-16 International Business Machines Corporation Structure and method of integrating compound and elemental semiconductors for high-performance CMOS
JP4825526B2 (ja) * 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7696537B2 (en) * 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
EP1882268B1 (en) * 2005-05-17 2016-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7382029B2 (en) * 2005-07-29 2008-06-03 International Business Machines Corporation Method and apparatus for improving integrated circuit device performance using hybrid crystal orientations
KR100655437B1 (ko) * 2005-08-09 2006-12-08 삼성전자주식회사 반도체 웨이퍼 및 그 제조방법
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
TWI299896B (en) * 2006-03-16 2008-08-11 Advanced Semiconductor Eng Method for forming metal bumps
WO2007112066A2 (en) * 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7492016B2 (en) * 2006-03-31 2009-02-17 International Business Machines Corporation Protection against charging damage in hybrid orientation transistors
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US7863653B2 (en) * 2006-11-20 2011-01-04 International Business Machines Corporation Method of enhancing hole mobility
US8016941B2 (en) * 2007-02-05 2011-09-13 Infineon Technologies Ag Method and apparatus for manufacturing a semiconductor
US7777306B2 (en) * 2007-03-06 2010-08-17 International Business Machines Corporation Defect-free hybrid orientation technology for semiconductor devices
US8237151B2 (en) * 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) * 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7790528B2 (en) * 2007-05-01 2010-09-07 Freescale Semiconductor, Inc. Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
US20080286888A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Test structures and methodology for detecting hot defects
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8803195B2 (en) * 2007-08-02 2014-08-12 Wisconsin Alumni Research Foundation Nanomembrane structures having mixed crystalline orientations and compositions
DE112008002387B4 (de) * 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US7696573B2 (en) * 2007-10-31 2010-04-13 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US8062951B2 (en) * 2007-12-10 2011-11-22 International Business Machines Corporation Method to increase effective MOSFET width
US7528027B1 (en) 2008-03-25 2009-05-05 International Business Machines Corporation Structure and method for manufacturing device with ultra thin SOI at the tip of a V-shape channel
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7915713B2 (en) * 2008-07-30 2011-03-29 Qimonda Ag Field effect transistors with channels oriented to different crystal planes
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8395216B2 (en) * 2009-10-16 2013-03-12 Texas Instruments Incorporated Method for using hybrid orientation technology (HOT) in conjunction with selective epitaxy to form semiconductor devices with regions of different electron and hole mobilities and related apparatus
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
KR101357045B1 (ko) * 2011-11-01 2014-02-05 한국과학기술연구원 그라핀이 결합된 산화물 반도체-그라핀 핵-껍질 양자점과 이를 이용한 튜너블 발광소자 및 그 제조 방법
US9559160B2 (en) * 2011-12-23 2017-01-31 Intel Corporation Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
FR2999800B1 (fr) 2012-12-13 2017-10-13 St Microelectronics Sa Procede de fabrication d'une plaquette semiconductrice hybride soi/massif
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US8975168B2 (en) * 2013-05-28 2015-03-10 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9490161B2 (en) * 2014-04-29 2016-11-08 International Business Machines Corporation Channel SiGe devices with multiple threshold voltages on hybrid oriented substrates, and methods of manufacturing same
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US9728640B2 (en) * 2015-08-11 2017-08-08 International Business Machines Corporation Hybrid substrate engineering in CMOS finFET integration for mobility improvement
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
DE112016004265T5 (de) 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH1093093A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置およびその製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2003045996A (ja) * 2001-07-26 2003-02-14 Toshiba Corp 半導体装置
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04272166A (ja) 1991-02-27 1992-09-28 Kawasaki Steel Corp 超低鉄損一方向性けい素鋼板の製造方法
US5894152A (en) * 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
JPH11224935A (ja) * 1997-12-02 1999-08-17 Mitsubishi Electric Corp 半導体集積回路の基板及び半導体集積回路の製造方法
JP4322453B2 (ja) * 2001-09-27 2009-09-02 株式会社東芝 半導体装置およびその製造方法
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
JP4050663B2 (ja) * 2003-06-23 2008-02-20 株式会社東芝 半導体装置およびその製造方法
JP2005072084A (ja) * 2003-08-28 2005-03-17 Toshiba Corp 半導体装置及びその製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH1093093A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置およびその製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2003045996A (ja) * 2001-07-26 2003-02-14 Toshiba Corp 半導体装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123892A (ja) * 2005-10-26 2007-05-17 Internatl Business Mach Corp <Ibm> 半導体構造およびその製作方法(複数の結晶方位を有する半導体基板)
JP2009514247A (ja) * 2005-10-31 2009-04-02 フリースケール セミコンダクター インコーポレイテッド 半導体構造物の製造方法
JP2007165665A (ja) * 2005-12-15 2007-06-28 Renesas Technology Corp 半導体装置およびその製造方法
US7595232B2 (en) 2006-09-07 2009-09-29 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US8237247B2 (en) 2006-09-07 2012-08-07 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US8513779B2 (en) 2006-09-07 2013-08-20 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
JP2009200471A (ja) * 2007-12-19 2009-09-03 Interuniv Micro Electronica Centrum Vzw 改良されたキャリア移動度を有するマルチゲート半導体デバイスの製造方法
JP2012516555A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スレッショルド調節半導体合金を堆積させるのに先立ちパターニング不均一性を低減することによる前記半導体合金の厚みばらつきの低減
JP2016529708A (ja) * 2013-08-01 2016-09-23 クアルコム,インコーポレイテッド 異なる材料から基板上にフィンを形成する方法

Also Published As

Publication number Publication date
US20050199984A1 (en) 2005-09-15
US20060065954A1 (en) 2006-03-30
CN100367503C (zh) 2008-02-06
CN1667828A (zh) 2005-09-14
US7498216B2 (en) 2009-03-03
US6995456B2 (en) 2006-02-07
TWI343649B (en) 2011-06-11
TW200601561A (en) 2006-01-01

Similar Documents

Publication Publication Date Title
JP4243671B2 (ja) 集積回路構造及び形成方法
JP2005260240A (ja) ハイブリッド結晶方位基板上の集積回路構造及び形成方法(高性能cmossoiデバイス)
JP4931211B2 (ja) ハイブリッド結晶配向基板上の高性能cmossoiデバイス
US7915100B2 (en) Hybrid orientation CMOS with partial insulation process
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
US7138683B2 (en) Self-aligned SOI with different crystal orientation using WAFER bonding and SIMOX processes
US7833854B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US7691482B2 (en) Structure for planar SOI substrate with multiple orientations
US20050082531A1 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
JP2008536335A (ja) 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体
US7393738B1 (en) Subground rule STI fill for hot structure
JP4328708B2 (ja) Cmosデバイスの製造方法及びcmosデバイスを備える構造

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070717

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080527

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080711

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081014