JP2005244224A - 薄い埋め込み酸化膜(box)上に反転コレクタを備える、低基板バイアス動作用極薄soiバイポーラ・トランジスタおよびその方法 - Google Patents

薄い埋め込み酸化膜(box)上に反転コレクタを備える、低基板バイアス動作用極薄soiバイポーラ・トランジスタおよびその方法 Download PDF

Info

Publication number
JP2005244224A
JP2005244224A JP2005044980A JP2005044980A JP2005244224A JP 2005244224 A JP2005244224 A JP 2005244224A JP 2005044980 A JP2005044980 A JP 2005044980A JP 2005044980 A JP2005044980 A JP 2005044980A JP 2005244224 A JP2005244224 A JP 2005244224A
Authority
JP
Japan
Prior art keywords
layer
base
emitter
semiconductor layer
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005044980A
Other languages
English (en)
Other versions
JP4448462B2 (ja
Inventor
Herbert L Ho
ハーバート・エル・ホー
Mahender Kumar
マヘンダー・クマール
Qiqing Ouyang
キキン・オウヤン
Paul A Papworth
ポール・エイ・パプワース
Christopher D Sheraw
クリストファー・ディー・シェロー
Michael D Steigerwalt
マイケル・ディー・スタイガーウォルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005244224A publication Critical patent/JP2005244224A/ja
Application granted granted Critical
Publication of JP4448462B2 publication Critical patent/JP4448462B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/7317Bipolar thin film transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Integrated Circuits (AREA)

Abstract

【課題】不純物ドープ・コレクタを備えない「コレクタ無し」シリコン・オン・インシュレータ(SOI)バイポーラ接合トランジスタ(BJT)を提供すること。
【解決手段】本発明のバイポーラ・トランジスタは、バイアス電圧を受ける導電性裏側電極と、この導電性裏側電極の上に位置する絶縁層と、第1導電型のドーパントを含有するベース、およびこのベースに隣接し第2導電型のドーパントを含有する外因性コレクタを備え、さらに絶縁層の上に位置する第1半導体層と、ベースの一部分の上に位置し第2導電型のドーパントを含有する第2半導体層を備えるエミッタとを備える。この導電性裏側電極にバイアスがかけられて、ベース中に第1半導体層と絶縁層の界面で反転電荷層が形成される。
【選択図】図3

Description

本発明は、半導体デバイスに関し、より詳細には不純物がドープされたコレクタを備えないバーチカル・バイポーラ・トランジスタに関する。その代わりに、本発明のバイポーラ・トランジスタは、コレクタとして少数キャリアを含有する。本発明は、このようなバーチカル・バイポーラ・トランジスタの形成方法も提供する。
半導体業界では、この数十年間、無線周波数(RF)/アナログおよびワイアレス/ファイバ・ベース通信の大量の応用分野のために、バイポーラ・トランジスタと相補形金属酸化膜半導体(CMOS)が一体化されたデバイス(以後Bi/CMOS)を、よりコスト効率よく製作するための解決策を追求してきた。Si/SiGe BiCMOS技術が、幅広く使用され大変成功している。しかし、CMOSには(デバイスの小型化のため)より低電力用でより高速用の薄いシリコン・オン・インシュレータ(SOI)基板が採用されるので、従来のバイポーラ接合トランジスタ(BJT)の厚いサブコレクタが高性能SOI CMOSデバイスの集積に適合しなくなる。
SOI CMOSと一体化するのを容易にするためにSOI BJTが提案され研究されてきた。たとえば、エス、パルク(S. Parke)らの「相補形ラテラルBJTを有する多目的SOI CMOS技術(A versatile, SOI CMOStechnology with complementary lateral BJT's)」(IEDM1992技術ダイジェスト、1992年12月13〜16日、頁453〜456)、ヴィー、エム、シー、チェン(V.M.C.Chen)らの「低電力BiCMOS用の、薄膜SOI基板上の低サーマル・バジェット、完全自己整合ラテラルBJT(A low thermal budget,fully self-aligned lateral BJT on thin film SOI substrate for lower powerBiCMOS applications)」(VLST技術1995、1995年6月6〜8日のVLSI技術シンポジウム1995の技術論文のダイジェスト、頁133、134))、ティー、シノ(T.Shino)ら、「自己整合外部ベース形成技術を使用する31GHzfmaxSOI上ラテラルBJT(A 31 GHz fmaxlateral BJT on SOI using self-aligned external base formation technology)」(1998年12月6〜9日、電子デバイス会議1998、IEDM‘98技術ダイジェスト、インターナショナル、頁953〜956)、ティー、ヤマダ(T.Yamada)ら、「金属裏張り単結晶シリコン外部ベースを有する、低電力/低コストRF用の新規で高性能なSOI上ラテラルBJT(A novelhigh-performance lateral BJT on SOI with metal-backed single-silicon externalbase for low-power/low-cost RF applications)」(1999年、バイポーラ/BiCMOS回路および技術会議1999、紀要1999、頁129〜132)、およびティー、シノ(T.Shino)ら、「自己整合外部ベースを有する、2GHzRF用SOIラテラルBJTの高周波特性の解析(Analysis on High-FrequencyCharacteristics of SOI Lateral BJTs with Self-Aligned External Base for 2-GHzRF Applications)」(2002年、IEEE、TED、第49巻、3号、頁414)を参照されたい。
ラテラルSOI BJTデバイスは、SOI CMOSと容易に一体化されているが、このようなデバイスの性能は、極めて限られている。その理由は、このラテラルSOI BJTのベース幅がリソグラフィによって決まるからである。したがって、電子ビーム技術等のより進んだ、より高価なリソグラフィ技術なしでは容易に小型化(30nm未満)できない。
別のタイプのSOI BJTも提案されているが、それは完全に空乏化したコレクタを有するバーチカルSOI SiGeバイポーラ・デバイスであり、より高いベース・コレクタ間降伏電圧、より高いアーリー電圧、およびベース開でのコレクタ・エミッタ間降伏電圧(BVCEO)とカットオフ周波数fとのより優れたトレードオフ関係を示すことが実証されている。たとえば、ティー、ニン(T. Ning)の米国公開特許公報第2002/0089038号、および同一譲受人に譲渡された2002年12月24日出願の米国特許出願第10/328,694号を参照されたい。しかし、これらのバーチカルSOI BJTとSOI CMOSの一体化プロセスは依然として極めて複雑であり高コストになる。
米国公開特許公報第2002/0089038号 米国特許出願第10/328,694号 エス、パルク(S. Parke)ら、「相補形ラテラルBJTを有する多目的SOICMOS技術(Aversatile, SOI CMOS technology with complementary lateral BJT's)」、IEDM1992技術ダイジェスト、1992年12月13〜16日、頁453〜456 ヴィー、エム、シー、チェン(V.M.C. Chen)ら、「低電力BiCMOS用の、薄膜SOI基板上の低サーマル・バジェット、完全自己整合ラテラルBJT(Alow thermal budget, fully self-aligned lateral BJT on thin film SOI substratefor lower power BiCMOS applications)」、VLST技術1995、1995年6月6〜8日のVLSI技術シンポジウム1995の技術論文のダイジェスト、頁133、134 ティー、シノ(T. Shino)ら、「自己整合外部ベース形成技術を使用する31GHzfmaxSOI上ラテラルBJT(A31 GHz fmax lateral BJT on SOI using self-aligned external base formationtechnology)」、1998年12月6〜9日、電子デバイス会議1998、IEDM‘98技術ダイジェスト、インターナショナル、頁953〜956 ティー、ヤマダ(T. Yamada)ら、「金属裏張り単結晶シリコン外部ベースを有する、低電力/低コストRF用の新規で高性能なSOI上ラテラルBJT(Anovel high-performance lateral BJT on SOI with metal-backed single-siliconexternal base for low-power/low-cost RF applications)」、1999年、バイポーラ/BiCMOS回路および技術会議1999、紀要1999、頁129〜132 ティー、シノ(T. Shino)ら、「自己整合外部ベースを有する、2GHzRF用SOIラテラルBJTの高周波特性の解析(Analysison High-Frequency Characteristics of SOI Lateral BJTs with Self-AlignedExternal Base for 2-GHz RF Applications)」、2002年、IEEE、TED、第49巻、3号、頁414
上記に鑑みて、従来のSOI BJTに伴う欠点を克服する新規で進んだバーチカルSOIバイポーラ・トランジスタを提供することが求められている。
本発明では、不純物ドープ・コレクタを備えない「コレクタなし」SOI BJTを提供することによって従来技術のバーチカルSOI BJTの問題を克服する。その代わりに、本発明のバーチカルSOI BJTでは、動作の際、内因性コレクタとして裏側のゲートで誘導された少数キャリア反転層を使用する。本発明によれば、SOI基板にバイアスをかけて、ベース領域の底部にコレクタとして働く反転層が形成されるようにする。このようなデバイスの利点は、そのCMOS類似のプロセスにある。したがって、一体化方式を簡単にでき、製造コストを大幅に低下させることができる。しかし、埋め込み酸化膜(BOX)の厚さが100nmの典型的なSOI基板の場合、反転層を発生させるために30Vの基板バイアスが必要である。このような高バイアスは望ましくない。このようなバイポーラ・トランジスタがSOI上のBiCMOSとして実用的なものになるためには、この基板バイアスをCMOSに印加される通常3V以下のバイアス以下にすべきである。
本発明では、厚いBOXを有するウェハから出発する従来のSOIを使用して極めて薄いBOXの区域上にBJTを製作する方法も提供する。バイポーラ・デバイスの下のBOX厚を減少させると、CMOSの下の厚いBOXの利点を維持しながら、CMOSに適合する大幅に低下した基板バイアスを印加することを可能にする。
不純物ドープ・コレクタを有さず、その代わりにゲートの裏側で誘導された少数キャリアの反転層をコレクタとして使用する本発明のバイポーラ・トランジスタは、SOI基板上に構築される。このSOI基板の厚さは、高性能をもつためには薄い(50nm未満)ことが好ましい。これは、今やSOI厚が通常の動作におけるベース幅を決定するからである。本発明のデバイスは、基板に正のバイアスがかかっていないとき、内因性のコレクタを有さない。基板に正のバイアスが印加されたとき、NPNトランジスタの場合、p型ベース中のホールがSi/SiO界面で空乏化し始める。この基板バイアスが閾値電圧より高い場合、薄い反転層(電子の、約5nmの)が形成され、内因性コレクタとして働く。本発明のデバイスは、この薄い反転層を形成した後でバーチカルBJTになる。PNPトランジスタの場合、負のバイアスが基板に印加され、正孔がこの薄い反転層中に形成される。
大まかに言って、本発明のバイポーラ・トランジスタは、バイアス電圧を受ける導電性裏側電極と、この導電性裏側電極の上に位置する絶縁層と、第1導電型のドーパントを含有するベース、およびこのベースに隣接し第2導電型のドーパントを含有する外因性コレクタを備え、絶縁層の上に位置する第1半導体層と、ベースの一部分の上に位置し第2導電型のドーパントを含有する第2半導体層を備えるエミッタとを備える。この導電性裏側電極にバイアスがかけられて、ベース中に第1半導体層と絶縁層の界面で反転電荷層が形成される。
本発明のデバイス構造は、相補型BJTをもたらすことができ、現在のSOI CMOS技術と直接一体化することができる。したがって、相補型Bi/CMOSが実現でき回路技術革新の新しい機会をもたらす。一実施形態では、電界効果トランジスタが本発明のバイポーラ・トランジスタに隣接した区域中に形成される。このトランジスタは、トレンチ分離領域によって分離される。
シミュレーション研究によれば、本発明のデバイス構造で極めて良好な性能が実現できることが示されている。シミュレーション結果によれば、デバイス設計の最適化によりSiベース(SiGeベースではない)バイポーラ・デバイスが、f=55GHzでかつfmax=132GHz、またはf=70GHzでかつfmax=106GHzを実現できることが示されている。他方、従来技術のラテラルSOI BJTでは、f=16GHzでかつfmax=25GHz、f=7GHzでかつfmax=60GHzが実現されていた。たとえば、ティー、シノ(T. Shino)、ティー、ヤマダ(T. Yamada)の前記の文献をそれぞれ参照されたい。上記で、fは電流ゲインが1になるときの周波数を表し、fmaxは片側だけの電力ゲインが1になる最大振動周波数を表す。
典型的なSOIウェハは、通常、100nmを越える比較的厚い埋め込み酸化膜(BOX)を有し、反転層コレクタを形成するためには基板バイアスが30Vを越えなければならない。このような高バイアスは望ましくない。本発明では、標準のSOIウェハを有するバイポーラ・トランジスタの場合に局所的に薄いBOXを形成する方法が提供される。大まかに言えば、本発明の方法は、第1絶縁層の上に位置する第1半導体層を備え、第1半導体層の下の第1絶縁層の一部分が除去されてアンダーカット領域がもたらされている、シリコン・オン・インシュレータを提供するステップと、第1半導体層の露出表面上に第1絶縁層より薄い第2絶縁層を形成するステップと、アンダーカット領域および第1半導体層の除去部分を裏側電極として導電材料で充填するステップと、第1導電型ドーパントを含有する外因性ベースおよび第1半導体層の一部分中に第2導電型ドーパントを含有する外因性コレクタを形成するステップと、前記第1半導体層の一部分の上に第2導電型ドーパントを含有する第2半導体層を備えるエミッタを形成するステップと、第1半導体層と第2絶縁層の界面に反転荷電層を形成するために裏側導電電極にバイアスをかけるステップとを含む。
具体的には、まず、SOI基板のSOI層を貫通してトレンチをエッチングによって形成して、通常100〜500nmの厚さのBOXを露出させる。次いで、厚いBOXの一部分を、SOI層をアンダーカットする等方的エッチング法を使用して除去する。本発明では、SOI層の上からどんなパッド層も除去した後で、等方的エッチングを実施する。このエッチング・プロセスの際にSOI層上にパッド層が残っている場合、このSOI層はパッド層中の初期応力に応じて上に曲がるか下に曲がる傾向がある。したがって、このエッチング・ステップの前にSOI層からパッド層を除去して、厚いBOXのエッチングの際にSOI層の上面に応力を与える被膜がないようにする。次いで、薄い酸化膜層(15nm未満)を成長あるいは堆積させて薄いBOXを形成する。トレンチおよびこの厚いBOXが除去された区域中をドープ・ポリシリコンで充填する。このポリシリコンは、イオン注入によりその場で形成することができ、ポリシリコンの堆積後に形成することもできる。次いで、基板バイアスを印加するためにポリシリコン充填を使用する。バイポーラ・デバイスの下でこのような減少したBOX厚を使用する場合、CMOSに適合できる大幅に低下した基板バイアス(3V未満)が、BOXがCMOSの下にあるという利点を維持したままで、内因性コレクタを形成する反転層(電子を含む)を形成するのに十分強い垂直電界を作り出すことができる。
この問題に対する代わりの解決方法は知られていない。可能な一代替法としては、パターン形成法を使用して、酸素注入による分離(SIMOX)プロセス中、SOIウェハ上に薄いBOXおよび厚いBOXの領域を形成することである。しかし、酸素注入を使用することにより15nm未満の薄いBOXを形成しBOX厚をうまく制御することは困難である。この方法では、また、SOIウェハを製造するためにコストのかかる追加のリソグラフィおよび注入ステップが必要になる。
次に、薄いBOX上の反転コレクタを備える、低基板バイアス動作用の極薄SOIバーチカル・バイポーラ・トランジスタを提供する本発明を、本出願に添付の図面を参照することによってより詳細に説明する。
上記のように、本発明では、バイアス電圧を受ける導電性裏側電極、この導電性裏側電極の上に位置する絶縁層、およびこの絶縁層の上に位置する第1半導体層を含むバイポーラ・トランジスタ構造が提供される。この第1半導体層は、第1導電型ドーパントを含むベースおよび第2導電型ドーパントを含む外因性コレクタを備える。本発明によれば、この外因性コレクタはベースに隣接する。本発明のバイポーラ・トランジスタは、ベースの一部分の上に位置し第2導電型のドーパントの第2導電層を含むエミッタを備えることもできる。動作中、裏側導電電極にバイアスをかけるとベース中の第1半導体層と絶縁層の界面で反転層が形成される。この反転バイポーラ・トランジスタ構造の構成は、図1ないし4を参照することによってより明白になるであろう。
本発明のバイポーラ・トランジスタの2デバイス配置を、図1および2に示す。図1および2に示すデバイス配置は、本発明の2つの相異なる実施形態を表している。図1に示す実施形態では、1フィンガ・エミッタ・デバイスを示し、図2に示す実施形態では、2フィンガ・エミッタ・デバイスを示す。「フィンガ」という用語は、エミッタが共通のエミッタ領域から外向きに延びる少なくとも一部分を有することを意味する。図1および2に1フィンガ・エミッタ・デバイスおよび2フィンガ・エミッタ・デバイスをそれぞれ示すが、本発明はこれらのタイプのデバイスのみに限定されない。そうではなくて、本発明では、いくつかのエミッタ・フィンガを備える薄いBOXデバイス配置が企図されている。複数のフィンガ構成は1フィンガ・デバイス配置より好ましい。というのは、それらは一般的に、高fmaxが実現されるようにエミッタ抵抗を低下させるからである。
図1および2に示す2デバイス配置では、参照番号106は後工程(BEOL)処理の後でデバイスの上に形成された金属パッドを指し、参照番号24は導電性裏側電極を指し、参照番号28は分離領域を指し、参照番号52はポリ・エミッタを指し、参照番号102は活性区域を指し、参照番号60はコンタクト開口を有する中間誘電体中に形成された金属コンタクトを指し、参照番号41は通常n+注入領域である外因性コレクタを指し、参照番号45は通常p+注入領域である外因性コレクタを指す。本明細書では、外因性ベースおよび外因性コレクタと反対の導電性も企図されている。基板、エミッタ、コレクタ、およびベースという用語は、読者に正しい指針を与えるために図1および2に含めてある。
本発明の1フィンガ実施形態では、エミッタ・フィンガ52は、軸D−D’上、軸C−C’に沿う、外因性ベース45と外因性コレクタ41との間に位置する。図1に示すデバイス配置は、製造のために必要な面積が最小になる最も簡単な配置を表す。
2フィンガ実施形態では、外因性ベース45は2エミッタ・フィンガ52間に位置し、外因性コレクタ41は構造のどちらか一方の側に位置する。したがって、2フィンガ・エミッタ配置では、2つの外因性コレクタ41が1つの共通の内側外因性ベース45を備える。上記で示したように、2フィンガ・デバイス配置によって、エミッタ抵抗が低下し、そのためfmaxが増大する。このデバイス配置は、図1に示す1フィンガ・デバイス配置より製造するのにより多くの空間を必要とし、(1フィンガ・エミッタ・デバイスに比べて)コレクタ面積が広いため、コレクタ抵抗も低下する。
1フィンガ・エミッタ・デバイス配置の断面図を図3および4に示す。図3は軸C−C’に沿った断面図であり、図4は軸D−D’に沿った断面図である。具体的には、図3および図4に示す断面図は、本発明のバーチカル・バイポーラ・トランジスタ10を示す。このバーチカル・バイポーラ・トランジスタ10は、Si含有基板層14と、第1厚さの第1絶縁層16(以後、厚い埋め込み酸化膜、BOXと呼ぶ)と、厚いBOXの第1厚さより薄い第2厚さの第2絶縁層16(22)(以後、薄いBOXと呼ぶ)とを含む。図示のように、厚いBOX16がSi含有基板14の上側表面上に位置し、薄いBOX22lの下側部分もSi含有基板14の上側表面上に位置し、薄いBOX22uの上側部分は導電性裏側電極24の上側表面上に位置する。この薄い上側BOX22uは、反転層がその上に形成される絶縁層である。22lおよび22uで表されるこの薄いBOXは、本明細書で単に参照番号22で呼ぶこともできる。
図3および4のこのバーチカル・バイポーラ・トランジスタは、トレンチ分離領域28をさらに備える。このトレンチ分離領域28は、図3に示すように厚いBOX16の上に位置し、かつ図4に示すように導電性裏側電極24の上にも位置する。したがって、このトレンチ分離領域28は、構造の活性デバイス領域を取り囲む。この構造は、薄いBOX22uの上側部分ならびに厚いBOX16の一部分上に位置する第1半導体層18(以後SOI層と呼ぶ)も含む。この第1半導体層18は、本発明に使用する出発基板の元のSOI層である。
本発明によれば、第1半導体層18は、n型かp型のどちらかのドーパントである第1導電型ドーパントでドープされたバイポーラ・トランジスタのベース100、およびn型かp型のどちらかで第1導電型ドーパントとは異なるドーパントである第2導電型ドーパントでドープされた外因性コレクタ41を備える。この第1半導体層18は、第1導電型ドーパントでドープされた外因性ベース45も備える。この外因性ベース45は、ベース100に比べて高いドーパント密度、すなわち濃度を有する。図示するように、外因性コレクタ41がバイポーラ・トランジスタのベース100に一方の側で隣接し、外因性ベース45がベース100の他方の側に隣接する。ベース100の上面は、第2半導体層からなるエミッタ52である。エミッタ52を形成する第2半導体層は、第1半導体層18と同じかまたは異なる材料からなるものでよい。このエミッタ52は第2導電型ドーパントで高濃度にドープされる。スペーサ36は、エミッタ52の周りで示されている。
エミッタ52、外因性コレクタ41、および外因性ベース45はその上に形成された金属シリサイド56を有する。この金属シリサイド56は、図4に示すように、導電性裏側電極24のどんな露出表面上にも形成される。導電性裏側電極24の露出表面上に位置する金属シリサイド56は、基板にバイアスをかけることができる領域である。バイアス中、薄いBOX22uの上側に位置するベース100の一部分が反転電荷層62に変換される。この反転電荷層62は、本発明のバイポーラ・トランジスタのコレクタとして働く少数キャリア層である。これは、コレクタが不純物ドープ領域からなる従来技術のバイポーラ・トランジスタとは異なる。
図4に示されるような1フィンガ・エミッタ・デバイスが、デバイス性能を検査するためにシミュレーションされた。このデバイスは、100nmのエミッタ線幅、2×1018cm−3の箱状ベースのドープ・プロファイル(N)、および50nmのSOI厚を有する。図5および6にVSE=3Vでのガンメル・プロット(Gummel plot)および出力特性をそれぞれ示す。電流利得(β)はコレクタ電流の幅広い範囲で230を越える。アーリー電圧(V)は102Vである。図7に、RF性能が小信号解析から抽出されVSE=3Vでプロットされている。fおよびfmaxは、I=132mA/mmでそれぞれピーク値35GHzおよび118GHzになる。基板バイアスのRF性能への影響を図8に示す。この図では、fおよびfmaxがVSEに対してプロットされている。
図9に、バイアスVCE=3V、VBE=0.86Vで、VSE=0V、1V、3Vの場合の、エミッタ中心を貫通するキャリア濃度の垂直断面図を示す。VSE<1Vの場合、反転層が裏側界面で形成できず、デバイスはコレクタとしてn++領域を有する疑似ラテラルBJTである。しかし、VSE>1Vの場合、反転層が形成され、デバイスは、図10に示すように、コレクタとして反転層を有するバーチカルBJTになる。図10には、VSE=3Vの場合の全キャリア濃度の2次元輪郭が示されている。基板バイアスは、その上に反転層および空乏層の両方を作り出し、仮想コレクタ上のバイアスのような働きもする。VSEが増大すると、空乏層は広くなり反転は強くなる。したがって、Wは減少し、rが増大し、rおよびCdBCが減少する。最終結果としては、図8に示すように、fおよびfmaxがVSEの増加と共に増加する。しかし、rが増大すると、特に高いVSE値の場合、fmaxの増加がスロー・ダウンする。酸化膜の破壊およびベース−コレクタ・パンチ・スルーを防ぐために、実際には、非常に高いVSEは避けるべきである。さらに、VBEも空乏幅および誘導電子濃度に影響を及ぼすことに留意されたい。これは、我々の場合のボディが前方にバイアスされたp/n接合(E−B)によってバイアスがかけられるという点でのみ、MOSFETにおけるボディ効果に類似している。
上記の2つの段落において、Wはベース幅、rはベース抵抗、rはコレクタ抵抗、CcBCはベース−コレクタ容量である。「V」は、コレクタとエミッタの間に印加される電位VCE、ベースとエミッタの間に印加される電位VBE、および、基板とエミッタの間に印加される電位VSEを指す。
薄いBOX22の作製プロセス・フローを図11ないし15に示す。BOX層が、酸化膜として図示され説明されているが、本発明は、この厚いBOX16および薄いBOX22が、他の絶縁材料、たとえば窒化膜または酸窒化膜のときも、同様に充分な効果がある。図11に、本発明に使用することができる高性能CMOS用に使用された典型的なSOI基板12の断面図を示す。この出発SOI基板12は、基板層14、厚いBOX16、および上面のSi含有層18(本発明の命名法によれば、第1半導体層またはSOI層)を含む。「Si含有材料」という用語は、本明細書ではシリコンをその中に含む任意の半導体材料を指す。このような例示的なSi含有材料の例としては、それだけには限定されないが、Si、SiGe、SiGeC、SiC、Si/Si、Si/SiGe、プレフォームSOIウェハ、シリコンゲルマニウム・オン・インシュレータ(SGOI)、および他の半導体材料が含まれる。
出発SOI基板12のこのSOI層18は、一般的に、n型またはp型ドーパントを含有することができるドープ層である。ドープは、SOI基板12の形成前、あるいは形成後にSOI層18内に導入することができる。このドープSOI層18の一部分は、本発明のバイポーラ・トランジスタ10のベース100である。SOI層18内のドーパント濃度は、一般的に約1×1017〜約1×1019原子/cmである。
SOI基板12のSi含有層18は、SOI基板12の形成に使用された技法に応じて様々な厚さを有することができる。しかし、一般的には、SOI基板12のSi含有層18の厚さは、約10〜約1000nm、より典型的には、約50〜約500nmである。厚いBOX16の厚さもSOI基板12の製作に使用された技法に応じて変わり得る。しかし、一般的には、厚いBOXの厚さは、約100〜約1000nm、より典型的には、約120〜約200nmである。SOI基板12のSi含有基板層14の厚さは、本発明では重要ではない。
出発SOI基板12は、ボンディング法などの層移転法を使用して形成することができる。あるいは、注入酸素による分離(SIMOX)と言われる技法を使用することもできる。SIMOXでは、イオン、一般的に酸素がバルクSi含有基板内に注入され、次いで注入イオンを含有する基板が、埋め込み酸化膜層すなわち厚いBOX16が形成可能な条件でアニールされる。
次いで、図12に示すように、Si含有基板層14の上側表面にまで延びる少なくとも1つのトレンチ26を、リソグラフィおよびエッチングによって形成する。このリソグラフィ・ステップは、SOI基板の表面にフォトレジストを塗布するステップと、フォトレジストを露光させるステップと、従来のレジスト現像剤を使用して露光したフォトレジストを現像するステップとを含む。トレンチ26の形成に使用されるエッチング・ステップは、任意の標準のSiの方向性、反応性イオン・エッチング法を含む。プラズマ・エッチング、イオン・ビーム・エッチング、およびレーザ・アブレーションなどの他の乾式エッチング法も本明細書では企図されている。図12に示すように、エッチングは、厚いBOX16の上面(図示せず)、または厚いBOX16の下のSi含有基板14で停止させることができる。図示するように、SOI層18および厚いBOX16の、パターン付きフォトレジストによって保護された部分は、エッチングの際に除去されない。エッチングの後で、従来のレジスト剥離法を使用してパターン付きフォトレジストを除去する。
次いで、シリコンに対して選択的な(時間制御されたフッ化水素酸ベースエッチングまたは類似の化学エッチングなどの)等方性酸化膜エッチングを使用して、SOI層18の下のバーチカル・バイポーラ・デバイスが製作される部分を除去する(図13)。この等方性エッチングで、後で導電性裏側電極材料で充填されるアンダーカット領域20をSOI層18の直下に形成する。SOI層18は、厚いBOX16のこのエッチングによって除去されない部分によって支持される。このエッチング・ステップの前に、SOI層上から全てのパッド層を除去しなくてはならない。そうしないとSOI層の曲がりが発生する。
次いで、湿式または乾式あるいはその両方の、酸化、窒化、または酸窒化などの熱プロセスを使用して第2の絶縁層22、すなわち薄いBOXを、SOI層18の露出表面に成長させる(図14参照)。この第2の絶縁層22は、SOI層18の露出水平表面上および露出垂直表面上、ならびにSi含有基板層14の露出表面上に形成されることに留意されたい。SOI層18上に形成される薄いBOX22に、参照番号22uを付け、Si含有基板層124層中に形成されるBOXに参照番号22lを付ける。本発明によれば、この薄いBOX22は、第1の絶縁層すなわち厚いBOX16の第1厚より薄い第2厚を有する。一般的には、薄いBOX22の厚さは、約1〜約15nmである。低温酸化膜(LTO)や高密度酸化膜(HTO)などの堆積酸化膜を使用することもできる。堆積酸化膜を使用するときは、酸化膜は開口構造の側壁にも同様に存在するはずである。酸化膜は、程度は低いが酸化膜表面にも同様に成長することに留意されたい。しかし、酸化膜表面に酸化膜を成長させても、本明細書の諸図面に違いはない。
本発明のこの時点で、たとえば、ドープ・ポリシリコン、シリサイド、または導電材料などの導電性裏側電極材料を堆積して除去した、厚いBOX16によってそれまで占拠された区域を充填する。堆積は、化学気相成長、プラズマ化学気相成長、化学溶液堆積、蒸着などの従来の堆積法を使用して実施する。一実施形態では、ドープ・ポリシリコンを、導電性裏側電極材料として使用し、減圧化学気相成長(LPCVD)法を用いて約400℃〜約700℃で堆積する。ポリシリコン層のドープは、イオン注入法を使用して、その場で実施してもよく、堆積後に実施してもよい。次いで、必要ならば、化学的機械研磨によりまたは酸化膜に対して選択的にポリシリコンを乾式エッチングすることによって、この構造を平坦化させることができる。上記のステップを実施した後で得られる構造を、たとえば図15に示す。
図16および図17に、上記のプロセスを経たSOIウェハのSEM断面図を示す。BOXを0.3ミクロンだけアンダーカットした。次いで、8nm厚の熱酸化膜を成長させて、その後LPCVDによってポリシリコンを充填する。
図18に図15に示した構造の拡大断面図を示す。領域102は、バイポーラ・トランジスタが形成される活性デバイス区域を指す。この活性区域102は、導電性裏側電極24の上に位置する上側の薄いBOX22uを含む。この導電性電極は、Si含有基板層14の上に位置する下側の薄いBOX22l上に位置する。
図19ないし26に、薄いBOXの形成後のバイポーラ・デバイスを製作するための簡単なプロセス・フロー図を示す。ここではこの方法を図示し説明してきたが、本発明では、図18に示す構造の活性区域102の上にバイポーラ・トランジスタを形成する他の諸方法も企図されている。説明し図示した実施形態では、外因性コレクタ41および外因性ベース45を、従来のCMOSプロセスの場合と同様にスペーサ36を使用してエミッタ52に対して自己整合させる。図26に示すバイポーラ・トランジスタを製作した後で、金属パッド106を充填された導電性の開口60を有する層間誘電体58上に形成することができる。
バイポーラ・トランジスタを形成するには、まず、図15または18に示す構造中にトレンチ分離領域28を形成するステップによって形成する。このトレンチ分離領域28は、たとえばトレンチを画定しエッチングするステップと、任意選択でトレンチをライナ材料でライニングするステップと、次いで、たとえばテトラエチルオルソシリケート(TEOS)や高密度酸化膜などのトレンチ誘電体材料でトレンチを充填するステップとを含む当技術分野で周知の従来技術の方法によって形成する。このトレンチ誘電体材料は、トレンチの充填後に緻密化することができ、必要ならば、化学的機械研磨などの平坦化法を実施することができる。
次に、スクリーン酸化膜30を、熱酸化法または化学気相成長などの従来の堆積法によって構造の表面上に形成する。このスクリーン酸化膜30の厚さは、それを形成する際に使用した技法に応じて変わり得る。一般的には、このスクリーン酸化膜30の厚さは約2〜約10nmである。スクリーン酸化膜30を形成した後で、化学気相成長、プラズマ化学気相成長、蒸着、同様な技法などの堆積法によってダミー・エミッタ層を形成する。このダミー・エミッタ層は、ドープされたまたはドープなしのポリシリコンなどの任意の材料から構成することができる。本発明のこの時点で形成されるダミー・エミッタ層の厚さは約50〜約200nmである。
ダミー・エミッタ層を堆積した後で、薄いBOX22および導電性裏側電極24の上に位置するスクリーン酸化膜30の一部分上にダミー・エミッタ32が形成されるように、このダミー・エミッタ層をリソグラフィおよびエッチングによってパターン形成する。
任意選択の実施形態(図示せず)では、約400℃〜約650℃の温度で実施される堆積法を使用して、ダミー・エミッタ32(側壁も含めて)を備える構造の上に、低温酸化膜(LTO)層を形成することができる。この任意選択のLTOの厚さは、約1〜約10nmである。
任意選択のLTOを含む、または含まないダミー・エミッタ32を備える構造の上に、約50〜約200nmの厚さの窒化物含有層34を形成することができる。この窒化物含有層34は、たとえば、SiまたはSiONを含む任意の窒化物含有誘電体からなるものでよい。この窒化物含有層34は、たとえば、化学気相成長などの従来の堆積法によって形成する。
トレンチ分離領域28、スクリーン酸化膜30、ダミー・エミッタ32、および窒化物含有層34を含む構造は、たとえば、図19に示してある。
次いで、窒化物含有層34を異方性エッチング法にかけてダミー・エミッタ32の側壁上に窒化膜スペーサ36を形成する。各窒化膜スペーサ36はLsp1、Lsp2で示す長さを有するが、それらはスペーサの底面から測定して約30〜約150nmである。このスペーサ36の長さは、外因性ベースおよび外因性コレクタからのドーパントが横方向に拡散する公差と、エミッタを開口する際のスクリーン酸化膜30のアンダーカットとを含むのに充分広い幅でなければならない。
次いで、リソグラフィによってフォトレジストを堆積しパターン形成し、それによって、構造の他の部分を露出させたままで、構造の予め選択した部分の上にパターン付きマスク38を設ける。具体的には、SOI層18の、外因性コレクタまたは外因性ベースのどちらかが形成される他の部分を露出させたままで、SOI層の、外因性コレクタまたは内因性ベースのどちらかが形成される部分をパターン付きマスク38によって保護することができる。
図20では、このパターン付きマスク38は、SOI層の、外因性コレクタが後で形成される部分の上に位置している。保護された部分の面積に応じて、p型またはn型のドーパントを構造に注入することができる。例示した実施形態では、このパターン付きマスク38は外因性ベースがその後で形成される領域の上に位置しており、PやAsなどのn+ドーパントを露出したSOI層18内に注入して、外因性コレクタを形成する(図20および21参照)。参照番号40は、構造内に注入されるイオンを指す(図21参照)。n型注入の場合のドーパント濃度は、一般的に、約1×1019〜約2×1020原子/cmである。
次いで、パターン付きマスク38を除去し、先に注入した区域の上でのリソグラフィおよびエッチングによって別のパターン付きマスク42を形成する。次いで、前の注入物を含有しない露出したSOI層18に、反対の導電型のドーパントで注入する。次いで、たとえば、BFやSbなどのp+ドーパントを露出したSOI層18内に注入して外因性ベース45を形成する(図22参照)。図21で、参照番号44は構造内に注入されるp型ドーパント・イオンを示す。このパターン付きマスク42は、注入ステップの後で除去する。このp型ドーパント・イオンのドーパント濃度は、一般的に、約1×1019〜約1×1020原子/cmである。
外因性コレクタ41を外因性ベース45の形成の前に形成するように図示してあるが、本発明では、逆の製造順序も企図されていることを強調しておきたい。
上述した諸ステップのそれぞれにおいて注入されるドーパントの深さは、注入領域がその活性化後に薄いBOX層22uの表面まで延びることができるような深さである、すなわち注入は薄いBOX22uの下面までは延びる必要はない。図22では、外因性コレクタ41は、下にある薄いBOX22uと接触して示され、外因性ベース45は接触しないものとして示されている。外因性ベース45と外因性コレクタ41は、ベース100によって分離されている。一般的には、このベース100および外因性ベース45は同じドーパント伝導性を含有するが濃度は異なり、外因性コレクタ41はベース100のドーパント伝導性とも外因性ベース45のドーパント伝導性とも反対のドーパント伝導性を有する。
次いで、図22にやはり示すように、たとえば、窒化膜などの酸化膜以外の誘電体からなるエッチ・ストップ層46を図21に示す構造の上に形成する。このエッチ・ストップ層46は、50nmを越える程度の厚さの比較的厚い層である。このエッチ・ストップ層46は、たとえば、室温化学気相成長、プラズマ化学気相成長、化学溶液堆積、および蒸着を含む当技術分野で周知の従来の堆積法によって形成する。
次いで、上記で示した50nmを越える厚さのエッチ・ストップ層46を含む構造の上に、ホウ素とリンをドープしたケイ酸ガラス(BPSG)、TEOS、または別の同様な誘電体などの平坦化材料48を従来の堆積法によって堆積させる。得られる構造を、たとえば、図22に示す。この平坦化材料48は、一般的に約500〜約1000nmの堆積厚である。
平坦化材料48を形成した後で、構造、特に平坦化材料を、化学的機械研磨、研削、エッチング、またはそれらの任意の組合せなどの平坦化法によって平坦化する。この平坦化は、ダミー・エミッタ32の上に位置するエッチ・ストップ層46の表面で停止する。次いで、エッチ・ストップ層46の除去に対して選択的なエッチング法を使用して、ダミー・エミッタ32の上のエッチ・ストップ層46を除去する。このエッチング・ステップは、構造からダミー・エミッタ材料を選択的に除去するエッチング・ステップを使用して構造から後で除去するダミー・エミッタ32の上側表面層を露出させる。このダミー・エミッタ32を除去すると、スクリーン酸化膜30の上側表面が露出する。次いで、このスクリーン酸化膜30の露出部分を、酸化膜を選択的に除去するエッチング法を使用して除去する。酸化膜を選択的に除去するエッチング法の説明に役立つ例としては、HFのプラズマおよびアンモニアを使用する、乾式HFエッチングまたは化学的酸化膜除去エッチングが挙げられる。図23に示すように、アンダーカット領域(番号付けせず)をスペーサ36の下に形成することができる。
前の段で述べた、平坦化ステップおよび様々なエッチング・ステップによって、ベース100の表面部分を露出させるエミッタ開口50を含む、図23に示すような構造が得られる。
後でエミッタ52内に形成されるポリシリコン層または他の同様な半導体材料を、堆積法とそれに続くイオン注入を使用して、またはその場堆積法を使用して、エミッタ開口50内に堆積させる。このポリシリコン層または他の同様な半導体材料は、ベースの型と反対の型のドーパントを含有する。たとえば、ベース100がp型にドープされたドーパントを含有する場合、本発明のこの時点で形成されるポリシリコン層または他の同様な半導体層はn型ドーパントを含有する。ポリシリコン層または他の同様な半導体層の厚さは、それを形成する際に使用した堆積法に応じて変わり得る。しかし、一般的には、このポリシリコン層または他の同様な半導体層の厚さは、約60〜250nmである。
次いで、その厚さが前に形成したエッチ・ストップ層46より一般的に大きいハードマスクを、従来の堆積法によってポリシリコン(または他の同様な半導体材料)に堆積させる。このハードマスクの厚さは一般的に約50nmを越える。このハードマスクは一般的にエッチ・ストップ層46と同じ誘電材料からなる。あるいは、ハードマスクはエッチ・ストップ層46とは異なる誘電材料からなる。
次いで、ハードマスクおよびポリシリコン層(または他の同様な半導体層)をリソグラフィによってパターン形成し、それによって、たとえば、図24に示すような構造をもたらす。図示した構造では、パターン付きハードマスク54およびエミッタ52が形成されている。このエミッタ52は、図面に示したT字状パターンを有することができ、あるいはブロック状エミッタなどの異なるパターンを有することもできる。エミッタ52の幅Weeは、上面から測って、一般的に約100〜500nmである。
次いで、図24に示す構造をエッチ・バック・プロセスにかけ、エッチ・ストップ層46の下にある平坦化材料48の露出部分およびスクリーン酸化膜30をそれぞれ除去する。前の文で述べた様々な層を単一のエッチング・ステップで除去することができるが、好ましくは複数のエッチング・ステップを使用する。平坦化材料48、エッチ・ストップ層46、およびスクリーン酸化膜30の除去に使用する化学反応は、様々な層を構造から除去する際に選択的である。ハードマスク54は、一般的にエッチ・バック・プロセスの際に除去することに留意されたい。あるいは、ハードマスク54を、エッチ・バック・プロセスの後で、除去することもできる。
外因性コレクタ41、外因性ベース45、トレンチ分離領域28、ならびに一般的にはエミッタおよび導電性裏側電極24を露出させるエッチ・バック・ステップの後で、Siを含有する露出表面、すなわち、外因性コレクタ41、外因性ベース45、導電性裏側電極24、およびエミッタ52を従来のシリサイド化プロセスにかける。このプロセスでは、Ti、Ni、Co、W、Re、Ptなどのシリサイド金属を、まず堆積させ、次いでアニールして金属とSiの相互作用を生じさせ、次いで金属とSiを含むそれぞれの領域上にシリサイド56を形成する。上述の金属の合金も本明細書では企図されている。シリサイド・プロセスの後で、一般的には、シリサイド化されない金属があれば従来の湿式エッチング法を使用して除去する。エッチ・バックおよびシリサイド化の後で得られる構造を図25に示す。外因性コレクタ41および外因性ベース45中に形成されたシリサイドはベース100に自己整合していることに留意されたい。エミッタ52上のシリサイドもエミッタ52に自己整合している。
本発明のこの時点で窒化膜など任意選択のバリア材料を図25に示す構造の上に形成することもできる。この任意選択のバリア材料は、本発明の図面に示していない。
次いで、化学気相成長、プラズマ化学気相成長、蒸着、スピン・オン・コーティング、化学溶液堆積などの従来の堆積法を使用して、たとえば、ホウ素とリンをドープしたケイ酸ガラス、酸化膜、有機ポリマー、無機ポリマーなどの相互接続絶縁膜58を堆積させることができる。この相互接続絶縁膜58の堆積後の厚さは約500〜約1000nmの程度である。この相互接続絶縁膜58の堆積後に、化学的機械研磨または同様な平坦化法によって平坦化後の厚さが約300〜約600nmになるように相互接続絶縁膜58を平坦化し、その後で、それぞれのシリサイド56の表面まで延びるコンタクト開口をリソグラフィおよびエッチングによって形成する。次いで、W、Cu、Al、Pt、Au、Rh、Ru、およびそれらの合金などの金属コンタクト60でコンタクト開口のそれぞれを充填する。得られた結果を、たとえば、図26に示す。
この時点で、上記で作製したコンタクトを介して導電性裏側電極24に外部電圧を印加することによって、図26に示す構造にバイアスをかけることができる。このバイアス印加により、薄いBOX22uの上に位置するベース100の一部分中に反転電荷層62が形成される。この反転電荷層62の形成の際に印加される電圧の大きさは、一般的に5V以下である。この反転電荷層62は、本発明のコレクタ構造として働く。
本発明の別の実施形態では、高性能を実現するためには、SOI層18は薄く(50nm未満)なければならず、外因性コレクタ41と外因性ベース45の直列抵抗は、それらが高濃度にドープされた場合でも極めて高くなることができる。したがって、図27に示すように、直列抵抗を低下させるために、選択的なシリコンまたはSiGeのエピタキシを使用して盛上ったコレクタ41’および盛上ったベース45’を形成することができる。
上記の方法を使用して、図15に示すSOI基板の活性区域上に複数のバーチカル・バイポーラ・トランジスタを形成することができる。上記で説明した方法は、電界効果トランジスタなどのCMOSデバイスを本発明のバーチカル・バイポーラ・トランジスタを含む区域に隣接した区域中に形成可能な従来のCMOSプロセス・フローと併用して、RF用または混合信号用のBiCMOSを形成することもできる。従来技術においては、CMOSデバイスは、一般的には、バイポーラ・デバイスより先に形成され、バイポーラ・トランジスタの製作の際には、CMOS区域が通常保護される。この方法の欠点は、MOSデバイス性能が、注入後のドーパント活性化アニールなどバイポーラ・デバイスの製作の際にCMOSデバイスが受ける過剰なサーマル・バジェットの故にしばしば劣化することである。本発明の従来法に勝る利点は、本発明の方法では典型的なCMOSプロセスを使用してバイポーラ・デバイスを形成するので、CMOSとバイポーラ・デバイスをインタラクティブに製作でき同じ活性化アニールを共用できることである。BiCMOSを形成するためにバイポーラ・デバイスをCMOSと共に製作するのにただ1つのブロック・マスクを追加するだけでよい。
本発明を好ましい実施形態を参照して具体的に図示し説明してきたが、当業者なら、本発明の精神および範疇から逸脱することなく、形式および細部において上記および他の変更を加え得ることは理解できよう。したがって、本発明は、説明し図示した通りの形式および細部に限定されず、添付の特許請求の範囲に含まれるものとする。
本発明の1フィンガ・エミッタ・デバイスの上面図である。 本発明の2フィンガ・エミッタ・デバイスの上面図である(多フィンガ構成によって、高fmaxを実現するためにエミッタ抵抗を低下させる)。 図1の1フィンガ・エミッタ・デバイスのC−C’方向に沿った概略断面図である。 図1の1フィンガ・エミッタ・デバイスのD−D’方向に沿った概略断面図である。 SE=3V、VCE=3Vにおいて、W=100nm、TSOI=50nm、N=2×1018cm−3、TOX=10nmの場合についてシミュレーションされたガンメル・プロット(Gummel plot)図である。 SE=3Vでの図5のデバイスに対してシミュレーションされた出力特性を示す図である。 SE=3Vでの図5のデバイスに対してシミュレーションされたfおよびfmaxを示す図である。 SE=3Vでの図5のデバイスに対してシミュレーションされたにfおよびfmaxとピークVSEとの関係を示す図である。 CE=3V、VBE=0.86Vで、それぞれVSE=0、1、3Vの場合における図5のデバイスに対してエミッタの中心を通るキャリア濃度の1次元断面を示す図である。 SE=3V、VCE=3V、VBE=0.86Vでの図5のデバイスに対する全キャリア濃度の2次元の概観を示す図である。 薄いBOX領域を作り出すために本発明に使用される一プロセス・フロー図である。 薄いBOX領域を作り出すために本発明に使用される一プロセス・フロー図である。 薄いBOX領域を作り出すために本発明に使用される一プロセス・フロー図である。 薄いBOX領域を作り出すために本発明に使用される一プロセス・フロー図である。 薄いBOX領域を作り出すために本発明に使用される一プロセス・フロー図である。 本発明の方法によりBOXが0.3ミクロンだけアンダーカットされたSOIウェハのSEM断面図である。 本発明の方法によりBOXが0.3ミクロンだけアンダーカットされた後で8nm厚の熱酸化膜を成長させ、その後でLPCVDによってポリシリコンが充填されたSOIウェハのSEM断面図である。 図15に示す構造の拡大図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 薄いBOXを形成後にバイポーラ・デバイスを形成するための本発明の一プロセス・フロー図である。 盛上った外因性コレクタおよび盛上った外因性ベースを備える本発明の「コレクタ無し」バーチカル・バイポーラ・トランジスタの断面図である。
符号の説明
10 バーチカル・バイポーラ・トランジスタ
12 SOI基板(第1絶縁層の上の第1半導体層)
14 Si含有基板層
16 厚いBOX
18 第1半導体層
20 アンダーカット
22 薄いBOX
22u 薄いBOXの上側
22l 薄いBOXの下側
24 導電性裏側電極
26 トレンチ
28 トレンチ分離領域
30 スリーン酸化膜
32 ダミー・エミッタ
34 窒化物含有層
36 窒化膜スペーサ
38 パターン付きマスク
40 n型ドーパント・イオン
41 外因性コレクタ
41’ 盛上った外因性コレクタ
42 別のパターン付きマスク
44 p型ドーパント・イオン
45 外因性ベース
45’ 盛上った外因性ベース
46 エッチ・ストップ層
48 平坦化材料
50 エミッタ開口
52 エミッタ(・フィンガ)
54 ハードマスク
56 金属シリサイド
58 相互接続誘電体
60 コンタクト開口を有する金属コンタクト
62 反転電荷層
100 ベース
102 活性区域
106 金属パッド

Claims (30)

  1. バイアス電圧を受ける導電性裏側電極と、
    前記導電性裏側電極の上に位置する絶縁層と、
    前記絶縁層の上に位置する第1半導体層であって、第1導電型のドーパントを含むベースと第2導電型のドーパントを含む外因性コレクタとを備え、前記外因性コレクタが前記ベースに隣接する第1半導体層と、
    前記ベースの一部分の上に位置する、第2導電型のドーパントの第2半導体層からなるエミッタとを備え、
    前記導電性裏側電極は、前記ベース領域中の前記第1半導体層と前記絶縁層の界面に反転電荷層を形成するようにバイアスされることを含む、バイポーラ・トランジスタ。
  2. 前記ベースの一部分をドープして外因性ベースを形成する、請求項1に記載のバイポーラ・トランジスタ。
  3. 前記外因性ベースと、前記エミッタと、前記外因性コレクタと、前記導電性裏側電極の露出表面のそれぞれがシリサイドを含む、請求項2に記載のバイポーラ・トランジスタ。
  4. 前記シリサイドが、相互接続絶縁膜中に形成されたコンタクト開口内のシリサイドの上に位置する金属シリサイドと接触している、請求項3に記載のバイポーラ・トランジスタ。
  5. 前記エミッタが単一のフィンガを備える、請求項1に記載のバイポーラ・トランジスタ。
  6. 前記エミッタが複数のフィンガを備える、請求項1に記載のバイポーラ・トランジスタ。
  7. 前記外因性コレクタおよび前記外因性ベースが盛上った領域である、請求項2に記載のバイポーラ・トランジスタ。
  8. スペーサが前記エミッタの側壁上に位置する、請求項1に記載のバイポーラ・トランジスタ。
  9. 前記絶縁層が1〜15nmの厚さの薄い絶縁層である、請求項1に記載のバイポーラ・トランジスタ。
  10. 前記薄い絶縁層より厚い別の絶縁層が前記薄い絶縁層に隣接して位置し、前記別の絶縁層がシリコン・オン・インシュレータの埋め込み酸化膜である、請求項9に記載のバイポーラ・トランジスタ。
  11. 前記ベースがp型ドーパントを含み、前記エミッタがn型ドーパントを含み、前記外因性コレクタがn型ドーパントを含み、前記外因性ベースがp型ドーパントを含む、請求項2に記載のバイポーラ・トランジスタ。
  12. 前記外因性ベースが、下地の絶縁層と接触しないように前記ベース内に最小限に拡散する、請求項2に記載のバイポーラ・トランジスタ。
  13. バイアス電圧を受ける導電性裏側電極と、前記導電性裏側電極の上に位置する絶縁層と、第1導電型のドーパントを含むベースと、第2導電型のドーパントを含み前記ベースに隣接する外因性コレクタとを備え、さらに前記絶縁層の上に位置する第1半導体層と、前記ベース領域の一部分の上に位置する、第2導電型のドーパントの第2半導体層からなるエミッタとを備え、前記導電性裏側電極は、前記ベース領域中の前記第1半導体層と前記絶縁層の界面に反転電荷層が形成されるようにバイアスされることを含む、バイポーラ・トランジスタと、
    少なくとも1つの隣接する相補形金属酸化膜半導体デバイスとを備え、前記バイポーラ・トランジスタと前記少なくとも1つの隣接する相補形金属酸化膜半導体デバイスとが分離領域で分離されている、半導体構造。
  14. 前記相補形金属酸化膜半導体デバイスが電界効果トランジスタである、請求項13に記載の半導体構造。
  15. 第1絶縁層の上に位置する第1半導体層を含むシリコン・オン・インシュレータ(SOI)基板を準備するステップであって、前記第1半導体層の下の前記第1絶縁層の一部分を除去してアンダーカット領域を設けるステップと、
    前記第1絶縁層の露出表面上に前記第1絶縁層より薄い第2絶縁層を形成するステップと、
    前記第1半導体層の前記アンダーカット領域と前記除去部分を導電性裏側電極材料で充填するステップと、
    前記第1半導体層の一部分中に、第1導電型ドーパントを含む外因性ベースと第2導電型ドーパントを含む外因性コレクタを形成するステップと、
    前記第1半導体層の一部分の上に、前記第2導電型ドーパントを含む第2半導体層を含むエミッタを形成するステップとを備える、バイポーラ・トランジスタの製作方法。
  16. 前記SOI基板を準備するステップが、前記第1半導体層内にトレンチを形成するステップと、等方性エッチング法を実施して前記アンダーカット領域を形成するステップとを含む、請求項15に記載の方法。
  17. 前記第2絶縁層を形成する前記ステップが加熱成長法を含む、請求項15に記載の方法。
  18. 前記アンダーカット領域を充填する前記ステップがドープ・ポリシリコン層を堆積させるステップを含む、請求項15に記載の方法。
  19. 前記外因性コレクタが、前記第1半導体層の少なくとも一部分上にパターン付きマスクを形成するステップと前記第1半導体層の露出部分内にイオンを注入するステップによって形成され、前記外因性ベースが、前記第1半導体層の少なくとも一部分上にパターン付きマスクを形成するステップと前記第1半導体層の露出部分内にイオンを注入するステップによって形成される、請求項15に記載の方法。
  20. 前記外因性ベースがp型ドーパントを含有し、前記外因性コレクタがn型ドーパントを含有し、前記ドーパンドは別々のイオン注入プロセスで導入される、請求項15に記載の方法。
  21. 前記エミッタを形成する前記ステップが、前記第1半導体層上にダミー・エミッタを形成するステップと、前記ダミー・エミッタの周りにスペーサを形成するステップと、エッチ・ストップ層および平坦化材料を形成するステップと、前記平坦化材料を平坦化して前記ダミー・エミッタの上の前記エッチ・ストップ層の表面を露出させるステップと、前記露出したエッチ・ストップ層を除去するステップと、少なくとも前記ダミー・エミッタを除去して前記第1半導体を露出させるエミッタ開口を設けるステップと、前記第2半導体層を堆積させて前記エミッタ開口を充填するステップとを含む、請求項15に記載の方法。
  22. 前記第2半導体層上にハードマスクを形成するステップと前記ハードマスクおよび前記第2半導体層をパターン形成するステップをさらに含む、請求項21に記載の方法。
  23. 少なくとも、前記平坦化材料と前記ハードマスクと前記エッチ・ストップ層をエッチング・バックして、前記エミッタと前記外因性コレクタおよび前記外因性ベースがその内部に位置する前記第1半導体層の表面とを露出させるステップをさらに含む、請求項22に記載の方法。
  24. 少なくとも、前記エミッタ上と前記外因性コレクタ上と前記外因性ベース上にシリサイドを形成するステップをさらに含む、請求項23に記載の方法。
  25. 前記シリサイドを露出させるコンタクト開口を有する相互接続絶縁膜を形成するステップと前記コンタクト開口をコンタクト金属で充填するステップをさらに含む、請求項24に記載の方法。
  26. エミッタ形成の前にダミー・エミッタ法を使用して形成されるスペーサを前記エミッタの周囲に形成するステップをさらに含む、請求項15に記載の方法。
  27. 前記第1絶縁層の一部分の上面上にトレンチ分離領域を形成するステップをさらに含む、請求項15に記載の方法。
  28. 前記外因性ベースが前記ドーパントの拡散で形成され、それによって前記外因性ベースが前記第2絶縁層と接触しないようになる、請求項15に記載の方法。
  29. 盛上がった外因性コレクタ領域および盛上がった外因性ベース領域を形成するステップをさらに含む、請求項15に記載の方法。
  30. 前記第1半導体層上にパッド層がない前記アンダーカット領域が、等方性エッチィング法によって設けられる、請求項15に記載の方法。
JP2005044980A 2004-02-25 2005-02-22 バイポーラ・トランジスタの製作方法 Expired - Fee Related JP4448462B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/787,002 US7375410B2 (en) 2004-02-25 2004-02-25 Ultra-thin SOI vertical bipolar transistors with an inversion collector on thin-buried oxide (BOX) for low substrate-bias operation and methods thereof

Publications (2)

Publication Number Publication Date
JP2005244224A true JP2005244224A (ja) 2005-09-08
JP4448462B2 JP4448462B2 (ja) 2010-04-07

Family

ID=34861895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005044980A Expired - Fee Related JP4448462B2 (ja) 2004-02-25 2005-02-22 バイポーラ・トランジスタの製作方法

Country Status (4)

Country Link
US (4) US7375410B2 (ja)
JP (1) JP4448462B2 (ja)
CN (1) CN100392865C (ja)
TW (1) TWI342601B (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7291496B2 (en) 2003-05-22 2007-11-06 University Of Hawaii Ultrasensitive biochemical sensor
US7375410B2 (en) * 2004-02-25 2008-05-20 International Business Machines Corporation Ultra-thin SOI vertical bipolar transistors with an inversion collector on thin-buried oxide (BOX) for low substrate-bias operation and methods thereof
US20050205891A1 (en) * 2004-03-18 2005-09-22 Holm-Kennedy James W Distributed channel bipolar devices and architectures
US7115965B2 (en) * 2004-09-01 2006-10-03 International Business Machines Corporation Vertical bipolar transistor with a majority carrier accumulation layer as a subcollector for SOI BiCMOS with reduced buried oxide thickness for low-substrate bias operation
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7709313B2 (en) * 2005-07-19 2010-05-04 International Business Machines Corporation High performance capacitors in planar back gates CMOS
US7439119B2 (en) * 2006-02-24 2008-10-21 Agere Systems Inc. Thermally stable BiCMOS fabrication method and bipolar junction transistors formed according to the method
US7375004B2 (en) * 2006-03-10 2008-05-20 Micron Technology, Inc. Method of making an isolation trench and resulting isolation trench
US7446007B2 (en) * 2006-11-17 2008-11-04 International Business Machines Corporation Multi-layer spacer with inhibited recess/undercut and method for fabrication thereof
JP4786518B2 (ja) * 2006-12-19 2011-10-05 株式会社東芝 半導体装置の製造方法
US8456392B2 (en) * 2007-05-31 2013-06-04 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system
CN101719503B (zh) * 2009-11-10 2012-07-04 上海宏力半导体制造有限公司 一种共电极薄soi纵向双极型晶体管器件及其制造方法
US8791546B2 (en) 2010-10-21 2014-07-29 Freescale Semiconductor, Inc. Bipolar transistors having emitter-base junctions of varying depths and/or doping concentrations
US8603883B2 (en) 2011-11-16 2013-12-10 International Business Machines Corporation Interface control in a bipolar junction transistor
US8927380B2 (en) 2012-02-08 2015-01-06 International Business Machines Corporation SOI bipolar junction transistor with substrate bias voltages
CN102592998B (zh) * 2012-03-22 2014-10-15 中国科学院上海微系统与信息技术研究所 一种基于SOI的纵向SiGe双极晶体管及其制备方法
US20130277753A1 (en) * 2012-04-20 2013-10-24 International Business Machines Corporation Bicmos devices on etsoi
US9059245B2 (en) 2012-05-30 2015-06-16 International Business Machines Corporation Semiconductor-on-insulator (SOI) substrates with ultra-thin SOI layers and buried oxides
US9099489B2 (en) 2012-07-10 2015-08-04 Freescale Semiconductor Inc. Bipolar transistor with high breakdown voltage
US8847358B2 (en) 2012-08-21 2014-09-30 Freescale Semiconductor, Inc. Bipolar transistor
US9209095B2 (en) * 2014-04-04 2015-12-08 International Business Machines Corporation III-V, Ge, or SiGe fin base lateral bipolar transistor structure and method
CN104362175A (zh) * 2014-11-20 2015-02-18 上海华虹宏力半导体制造有限公司 部分耗尽绝缘体上硅三极管结构
US10446644B2 (en) 2015-06-22 2019-10-15 Globalfoundries Inc. Device structures for a silicon-on-insulator substrate with a high-resistance handle wafer
US9536788B1 (en) * 2015-10-19 2017-01-03 International Business Machines Corporation Complementary SOI lateral bipolar transistors with backplate bias
US9991254B1 (en) 2017-03-09 2018-06-05 International Business Machines Corporation Forming horizontal bipolar junction transistor compatible with nanosheets
FR3087048B1 (fr) * 2018-10-08 2021-11-12 St Microelectronics Sa Transistor bipolaire
US11133397B2 (en) 2019-06-04 2021-09-28 Globalfoundries U.S. Inc. Method for forming lateral heterojunction bipolar devices and the resulting devices
US11552190B2 (en) 2019-12-12 2023-01-10 Analog Devices International Unlimited Company High voltage double-diffused metal oxide semiconductor transistor with isolated parasitic bipolar junction transistor region
US11152496B2 (en) 2020-01-30 2021-10-19 Globalfoundries U.S. Inc. IC structure base and inner E/C material on raised insulator, and methods to form same
US11837460B2 (en) 2021-09-03 2023-12-05 Globalfoundries U.S. Inc. Lateral bipolar transistor
CN116403902B (zh) * 2023-06-08 2023-08-18 微龛(广州)半导体有限公司 一种垂直双极性结型晶体管及其制作方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02327A (ja) 1987-10-09 1990-01-05 Fujitsu Ltd 半導体装置
US4965872A (en) * 1988-09-26 1990-10-23 Vasudev Prahalad K MOS-enhanced, self-aligned lateral bipolar transistor made of a semiconductor on an insulator
US5621239A (en) * 1990-11-05 1997-04-15 Fujitsu Limited SOI device having a buried layer of reduced resistivity
US5352624A (en) * 1992-01-23 1994-10-04 Sony Corporation SOI type semiconductor device and manufacturing method therefor
JPH05243255A (ja) * 1992-02-28 1993-09-21 Fujitsu Ltd 半導体装置
JPH05243573A (ja) 1992-03-03 1993-09-21 Fujitsu Ltd 半導体装置及びその製造方法
US5448104A (en) * 1993-07-17 1995-09-05 Analog Devices, Inc. Bipolar transistor with base charge controlled by back gate bias
JPH0738005A (ja) 1993-07-21 1995-02-07 Sony Corp 半導体装置およびその製造方法
DE4418206C2 (de) 1994-05-25 1999-01-14 Siemens Ag CMOS-kompatibler Bipolartransistor und Herstellungsverfahren desselben
US5583059A (en) 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
JPH0951101A (ja) 1995-08-07 1997-02-18 Hitachi Ltd 半導体装置およびその製造方法
SE521385C2 (sv) * 1997-04-04 2003-10-28 Ericsson Telefon Ab L M Bipolär transistorstruktur
JP4211084B2 (ja) 1998-04-28 2009-01-21 沖電気工業株式会社 半導体装置の製造方法
JP2979479B1 (ja) 1998-06-12 1999-11-15 神奈川ポンプ株式会社 消防機材用動力車
JP2000242470A (ja) 1999-02-23 2000-09-08 Matsushita Electric Ind Co Ltd 乱数生成装置および方法および記録媒体
JP2000340795A (ja) 1999-05-26 2000-12-08 Sony Corp 半導体論理素子およびそれを用いた論理回路
JP2001110816A (ja) 1999-07-19 2001-04-20 Mitsubishi Heavy Ind Ltd バイポーラトランジスタ
JP2001203357A (ja) 2000-01-17 2001-07-27 Sony Corp 半導体装置
US7439146B1 (en) 2000-08-30 2008-10-21 Agere Systems Inc. Field plated resistor with enhanced routing area thereover
US6784467B1 (en) 2002-08-13 2004-08-31 Newport Fab, Llc Method for fabricating a self-aligned bipolar transistor and related structure
JP2002258422A (ja) 2000-12-27 2002-09-11 Nikon Corp 色分解光学装置および投射型表示装置
US6812533B2 (en) * 2002-12-24 2004-11-02 International Business Machines Corporation SOI based bipolar transistor having a majority carrier accumulation layer as subcollector
JP2005243255A (ja) 2004-02-24 2005-09-08 Matsushita Electric Works Ltd 照明器具
US7375410B2 (en) * 2004-02-25 2008-05-20 International Business Machines Corporation Ultra-thin SOI vertical bipolar transistors with an inversion collector on thin-buried oxide (BOX) for low substrate-bias operation and methods thereof
US7115965B2 (en) * 2004-09-01 2006-10-03 International Business Machines Corporation Vertical bipolar transistor with a majority carrier accumulation layer as a subcollector for SOI BiCMOS with reduced buried oxide thickness for low-substrate bias operation

Also Published As

Publication number Publication date
CN1661811A (zh) 2005-08-31
TWI342601B (en) 2011-05-21
US20050184360A1 (en) 2005-08-25
US7763518B2 (en) 2010-07-27
CN100392865C (zh) 2008-06-04
US20100207683A1 (en) 2010-08-19
US20080230869A1 (en) 2008-09-25
TW200537651A (en) 2005-11-16
JP4448462B2 (ja) 2010-04-07
US20080132025A1 (en) 2008-06-05
US7375410B2 (en) 2008-05-20
US7911024B2 (en) 2011-03-22

Similar Documents

Publication Publication Date Title
JP4448462B2 (ja) バイポーラ・トランジスタの製作方法
US7485537B2 (en) Method of fabricating a vertical bipolar transistor with a majority carrier accumulation layer as a subcollector for SOI BiCMOS with reduced buried oxide thickness
US8067290B2 (en) Bipolar transistor with base-collector-isolation without dielectric
US8148799B2 (en) Self-aligned bipolar transistor structure
US8541812B2 (en) Semiconductor device and method of manufacture thereof
US6794237B2 (en) Lateral heterojunction bipolar transistor
JP2005260239A (ja) 半導体構造、バイポーラトランジスタの形成方法
JPH0689900A (ja) 自己整合型バイポーラトランジスタ製造方法
US20090166753A1 (en) Semiconductor Device and Method of Manufacturing Such a Device
JP2005159349A (ja) シリコン・オン・インシュレータ内に形成された金属酸化膜半導体デバイス
US7285470B2 (en) Method for the production of a bipolar semiconductor component, especially a bipolar transistor, and corresponding bipolar semiconductor component
KR100603120B1 (ko) 바이폴라 디바이스 제조 방법 및 바이폴라 트랜지스터
US20090212394A1 (en) Bipolar transistor and method of fabricating the same
JP2002289834A (ja) 半導体装置の製造方法および半導体装置
JP2009526396A (ja) バイポーラトランジスタの製造方法
JP3989778B2 (ja) SiGeヘテロ接合バイポーラ・トランジスタ及びこれの製造方法
JP2005129949A (ja) BiCMOS製造方法
JP2007535799A (ja) 高いfTおよびfmaxを有するバイポーラ・トランジスタおよびこれを製造する方法
JP2680358B2 (ja) 半導体素子の製造方法
JPH10321639A (ja) 半導体装置及びその製造方法
JPH11307771A (ja) 半導体装置及びその製造方法
JP2001267328A (ja) 半導体装置及びその製造方法
JP2004253722A (ja) バイポーラトランジスタおよびその製造方法
JP2007311489A (ja) 半導体装置およびその製造方法
JP2010141044A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090109

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090327

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090625

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100112

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130129

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4448462

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140129

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees