JP2004530287A - フォトレジストとosgの間の反応を除く方法 - Google Patents
フォトレジストとosgの間の反応を除く方法 Download PDFInfo
- Publication number
- JP2004530287A JP2004530287A JP2002553242A JP2002553242A JP2004530287A JP 2004530287 A JP2004530287 A JP 2004530287A JP 2002553242 A JP2002553242 A JP 2002553242A JP 2002553242 A JP2002553242 A JP 2002553242A JP 2004530287 A JP2004530287 A JP 2004530287A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- dielectric layer
- photoresist
- forming
- etch stop
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 301
- 238000000034 method Methods 0.000 title claims abstract description 58
- 238000006243 chemical reaction Methods 0.000 title abstract description 7
- 239000000463 material Substances 0.000 claims abstract description 193
- 229910052751 metal Inorganic materials 0.000 claims abstract description 135
- 239000002184 metal Substances 0.000 claims abstract description 135
- 238000000576 coating method Methods 0.000 claims abstract description 74
- 239000011248 coating agent Substances 0.000 claims abstract description 69
- 239000003989 dielectric material Substances 0.000 claims abstract description 54
- 239000000758 substrate Substances 0.000 claims abstract description 45
- 238000004377 microelectronic Methods 0.000 claims abstract description 20
- 230000004888 barrier function Effects 0.000 claims description 131
- 230000001681 protective effect Effects 0.000 claims description 87
- 230000000149 penetrating effect Effects 0.000 claims description 35
- 229910052739 hydrogen Inorganic materials 0.000 claims description 21
- 239000001257 hydrogen Substances 0.000 claims description 19
- 229920000642 polymer Polymers 0.000 claims description 19
- 238000010894 electron beam technology Methods 0.000 claims description 16
- 239000011521 glass Substances 0.000 claims description 16
- 238000004519 manufacturing process Methods 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 14
- 239000000945 filler Substances 0.000 claims description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 12
- 239000010703 silicon Substances 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 11
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 11
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 9
- 239000006117 anti-reflective coating Substances 0.000 claims description 8
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 claims description 8
- 150000004767 nitrides Chemical class 0.000 claims description 7
- 239000000377 silicon dioxide Substances 0.000 claims description 7
- 229920000620 organic polymer Polymers 0.000 claims description 6
- 229910052715 tantalum Inorganic materials 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 5
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 5
- 239000010949 copper Substances 0.000 claims description 5
- 229910052802 copper Inorganic materials 0.000 claims description 5
- 235000012239 silicon dioxide Nutrition 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 4
- 229910004541 SiN Inorganic materials 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 229910003465 moissanite Inorganic materials 0.000 claims description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- 229910000838 Al alloy Inorganic materials 0.000 claims description 3
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 3
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 2
- 238000000137 annealing Methods 0.000 claims description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 2
- 239000010410 layer Substances 0.000 abstract description 644
- 231100000572 poisoning Toxicity 0.000 abstract description 11
- 230000000607 poisoning effect Effects 0.000 abstract description 11
- 239000000126 substance Substances 0.000 abstract description 7
- 238000000059 patterning Methods 0.000 abstract description 2
- 239000002344 surface layer Substances 0.000 abstract description 2
- 231100000331 toxic Toxicity 0.000 abstract description 2
- 230000002588 toxic effect Effects 0.000 abstract description 2
- -1 crystalline silicon Chemical compound 0.000 description 19
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 230000015572 biosynthetic process Effects 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 230000005855 radiation Effects 0.000 description 10
- 229910052799 carbon Inorganic materials 0.000 description 8
- 238000007796 conventional method Methods 0.000 description 8
- 239000002904 solvent Substances 0.000 description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 6
- 238000001704 evaporation Methods 0.000 description 6
- 230000008020 evaporation Effects 0.000 description 6
- 150000002739 metals Chemical class 0.000 description 6
- 125000001424 substituent group Chemical group 0.000 description 6
- 125000000217 alkyl group Chemical group 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 210000002381 plasma Anatomy 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 229920005989 resin Polymers 0.000 description 3
- 239000011347 resin Substances 0.000 description 3
- 229920005573 silicon-containing polymer Polymers 0.000 description 3
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical group CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000003877 atomic layer epitaxy Methods 0.000 description 2
- 230000001427 coherent effect Effects 0.000 description 2
- BGTOWKSIORTVQH-UHFFFAOYSA-N cyclopentanone Chemical compound O=C1CCCC1 BGTOWKSIORTVQH-UHFFFAOYSA-N 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- XWRLQRLQUKZEEU-UHFFFAOYSA-N ethyl(hydroxy)silicon Chemical compound CC[Si]O XWRLQRLQUKZEEU-UHFFFAOYSA-N 0.000 description 2
- 230000007717 exclusion Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- 229910000497 Amalgam Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- WSNMPAVSZJSIMT-UHFFFAOYSA-N COc1c(C)c2COC(=O)c2c(O)c1CC(O)C1(C)CCC(=O)O1 Chemical compound COc1c(C)c2COC(=O)c2c(O)c1CC(O)C1(C)CCC(=O)O1 WSNMPAVSZJSIMT-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- DHXVGJBLRPWPCS-UHFFFAOYSA-N Tetrahydropyran Chemical compound C1CCOCC1 DHXVGJBLRPWPCS-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 239000012670 alkaline solution Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 150000003950 cyclic amides Chemical class 0.000 description 1
- 150000003997 cyclic ketones Chemical class 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- IIRFCWANHMSDCG-UHFFFAOYSA-N cyclooctanone Chemical compound O=C1CCCCCCC1 IIRFCWANHMSDCG-UHFFFAOYSA-N 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000010292 electrical insulation Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- ZZUFCTLCJUWOSV-UHFFFAOYSA-N furosemide Chemical compound C1=C(Cl)C(S(=O)(=O)N)=CC(C(O)=O)=C1NCC1=CC=CO1 ZZUFCTLCJUWOSV-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000002329 infrared spectrum Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- GQYHUHYESMUTHG-UHFFFAOYSA-N lithium niobate Chemical compound [Li+].[O-][Nb](=O)=O GQYHUHYESMUTHG-UHFFFAOYSA-N 0.000 description 1
- 230000005499 meniscus Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229920003986 novolac Polymers 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 125000002572 propoxy group Chemical group [*]OC([H])([H])C(C([H])([H])[H])([H])[H] 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 239000002534 radiation-sensitizing agent Substances 0.000 description 1
- 239000013557 residual solvent Substances 0.000 description 1
- 238000007761 roller coating Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000002211 ultraviolet spectrum Methods 0.000 description 1
- 238000001429 visible spectrum Methods 0.000 description 1
- 239000008207 working material Substances 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/34—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
- H01L21/46—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
- H01L21/461—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/4763—Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
- H01L2221/1063—Sacrificial or temporary thin dielectric films in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
フォトレジストの毒作用を防止しながら、マイクロエレクトロニクスデバイスを形成する方法。導電性金属および誘電体材料の種々の層を、集積回路を形成するための選択的な順序で基板上へ被膜させる。フォトレジスト材料を露光およびパターニングすることによって、構造の全体にわたってバイアおよびトレンチを形成する。絶縁層の誘電体材料をフォトレジストから保護して、フォトレジスト毒作用の原因となる化学反応を防ぐ。これは、誘電体材料を覆うさらなる層を被膜させることによってか、またはプラズマもしくは化学処理にさらされた誘電体材料の表面を変更し、変更された表面層を誘電体材料上に形成することによって行う。
Description
【技術分野】
【0001】
本発明は、集積回路デバイスなどのマイクロエレクトロニクスデバイスにおける構造の形成に関する。より詳細には、本発明は、マイクロエレクトロニクスデバイスの形成中のフォトレジスト毒作用の防止に関する。
【背景技術】
【0002】
マイクロエレクトロニクスの製造では、当該技術分野において、集積回路(IC)を形成するための選択的な順序で、種々の金属層と絶縁層とを基板上に被膜させることが知られている。本明細書で用いる場合、用語「マイクロエレクトロニクスデバイス」には、集積回路、金属間誘電体などが含まれる。通常は、第1のレベルの金属層が基板上に被膜されて、1つまたは複数の絶縁層によって第2のレベルの金属層と分離される。その後の金属層も同様に、1つまたは複数のさらなる絶縁層によって分離されることがある。
【0003】
絶縁層(通常は二酸化ケイ素、酸窒化ケイ素、フッ化ケイ酸塩ガラス(FSG)、スピンオンガラス(SOG)などの誘電体材料を含む)は、金属間誘電体層の間の電気絶縁として働く。これらの絶縁層は通常、化学蒸着法(CVD)などの従来技術によって被膜され、基板の平坦化を実現するための保護層または間隙充填材として働く。金属層は通常、アルミニウム、銅、チタン、タンタル、タングステンなどの導電性金属を含む。
【0004】
これらのマイクロエレクトロニクスデバイスの形成中に、標準的なフォトリソグラフィおよびエッチング技術を用いて誘電体の一部を除去する必要がある。トレンチ、バイアなどが誘電体内に形成され、導電性金属が充填されて、集積回路における金属接点との電気接続部が形成される。このようなプロセシングの際、誘電体は他の材料、たとえば(これに限定されないが)フォトレジストおよび反射防止コーティング(ARC)と、接触する可能性がある。
【0005】
この材料間の接触から生じる問題の1つは、フォトレジストとある種の誘電体との間で反応が起こり得るということである。これは、有機ケイ酸塩ガラス(OSG)といわれる種類の誘電体材料の場合に、特に重要である。このガラスには、HOSP(商標)、Black Diamond(商標)、およびCoral(商標)などの商標名の材料が含まれる。これらの材料はポーラスまたは非ポーラスの何れかであり得る。これらの材料は、産業において極めて魅力的である。と言うのは、これらの誘電率は二酸化ケイ素のそれよりも非常に低いからである。OSGとフォトレジスト/ARCとの間で起こる反応によって、エッチング、アッシング、および/または化学的ストリッピングによる除去が難しい反応生成物がバイア内に生じる。その結果、相互接続構造のその後のパターニングがもはやフォトレジストによっては規定されず、フォトレジストの再加工が困難または不可能になる場合がある。この現象は「フォトレジスト毒作用」、「レジスト毒作用」、「ネイルヘッディング」、および/または「マッシュルーミング」として知られている。現像の際、フォトレジスト毒作用によって、フォトレジスト層の露出したパターン領域が、非均一な側壁を伴うフォトレジストプロファイルまたは構造を有する。ポジティブフォトレジストを用いる場合には、フォトレジスト毒作用によって、フォトレジストフーチング(footing)が形成されるか、または基板のすぐ上のフォトレジストラインが広くなることが多い。ネガティブフォトレジストを用いる場合には、フォトレジストピンチングが起こることが考えられる。これは、フォトリソグラフィ露出および現像の後に、その下の基板上にフォトレジストプロファイルの非均一な側壁が形成されることである。エッチングの後、このようなフォトレジストフーチングまたはフォトレジストピンチングの問題によって、フォトレジストパターンの、その下の1つまたは複数の層への転写が不完全になる。いくつかの好ましい相互接続製造方法、特にデュアルダマシンプロセスにおいては、このOSG/フォトレジスト反応によって、相互接続の形成が困難または不可能となる場合がある。
【発明の開示】
【発明が解決しようとする課題】
【0006】
レジスト形成中のフォトレジスト層の毒作用を回避する、集積回路の形成方法を考案することが望ましいであろう。本発明の主題は、誘電体材料とフォトレジストとの間に中間層を被膜または形成することによって、フォトレジスト毒作用の原因となる反応を抑制することである。
【課題を解決するための手段】
【0007】
本発明によれば、絶縁層の誘電体材料をフォトレジスト材料から保護して、フォトレジスト毒作用につながる化学反応を防止する。これは、誘電体材料を覆うさらなる層を被膜させるかまたはプラズマもしくは化学処理に対する誘電体材料の露出表面を変更し、変更された表面層を誘電体材料上に形成することによって行われる。
【0008】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイア(via)に対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とバイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成するステップと、
(i)さらなるフォトレジスト層の残りと保護材料の残りとを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含む、マイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【0009】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とバイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)保護材料および第2の誘電体層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去することによって、第1の誘電体層を下方に貫くバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【0010】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とトレンチの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、保護材料、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0011】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの内壁の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含む、マイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0012】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁上の保護材料の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの底面上の保護材料の部分と第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分とを除去することによって、第1の誘電体層を貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0013】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上と、第2の誘電体層、任意のエッチストップおよび第1の誘電体層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁上のバリア材料層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0014】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上と第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁上のバリア材料層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの底面からのバリア材料層の部分と、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分とを除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0015】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去することによって、第2の誘電体層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、トレンチの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、トレンチの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0016】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある、第2の誘電体層の部分を除去し、もしあるのならエッチストップ層を随意に除去することによって、第2の誘電体層を貫きかつ随意にエッチストップ層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、トレンチの内壁および底面の表面とにバリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上とトレンチの内壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)トレンチの底面上のバリア材料層、エッチストップ層のわずかでも残存している部分、および第1の誘電体層の部分のそれぞれの、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、トレンチの底面上のバリア材料層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上のバリア材料層上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0017】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)第2のハードマスク層の上面にフォトレジスト層を被膜させて、第2のハードマスク層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(g)第2のハードマスク層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(h)第2のハードマスク層の上面上と、第2のハードマスク内のバイアの内壁および底面上とにさらなるフォトレジスト層を被膜させ、第2のハードマスク層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(i)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のバイアの下方にある部分を除去することによって、第1のハードマスク層と第2の誘電体層とを下方に貫く少なくとも1つのバイアを形成するステップと、
(j)第2のハードマスク層の、さらなるフォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を下方に貫く少なくとも1つのトレンチを形成し、任意のエッチストップ層の、第2の誘電体層内のバイアの下方にある部分を除去することによって、バイアを任意のエッチストップ層を下方に貫くように延長するステップと、
(k)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のトレンチの下方にある部分を除去することによって、第1のハードマスク層と第2の誘電体層とを下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去することによって、第1の誘電体層を貫くバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(l)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(m)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0018】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)第2のハードマスク層の上面にフォトレジスト層を被膜させて、第2のハードマスク層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(g)第2のハードマスク層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(h)第2のハードマスク層の上面上とトレンチの内壁および底面上とにさらなるフォトレジスト層を被膜させ、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(i)第1のハードマスク層、第2の誘電体層、および任意のエッチストップ層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(j)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のトレンチの下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層または任意のエッチストップ層内のバイアに対応する第1の誘電体層の部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(k)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(l)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【発明を実施するための最良の形態】
【0019】
本発明の第1の実施形態においては、図1(a)に示すように、第1の誘電体材料を基板の表面上に被膜させて、第1の誘電体層を基板上に形成する。次に任意のエッチストップ材料を第1の誘電体層上に被膜させて、任意のエッチストップ層を形成してもよい。次に第2の誘電体材料を第1の誘電体層または任意のエッチストップ層上に被膜させることによって、第2の誘電体層を形成する。次にフォトレジスト材料層を第2の誘電体層の上面上に形成し、フォトレジストの一部を標準的なフォトリソグラフィ技術によってイメージに関して(imagewise)除去して、第1の誘電体層に対するバイアの外形を描く。次に図1(b)に示すように、フォトレジストの除去された部分の下方にある各層の部分を除去して、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図1(c)に示すように、フォトレジスト層の残りを除去する。図1(d)に示すように、保護材料(この場合は犠牲膜(SAC))を、第2の誘電体層の上面上とバイアの内壁および底面上とに被膜させる。次に図1(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対する少なくとも1つのトレンチの外形を描く。図1(f)に示すように、保護材料および第2の誘電体材料から、さらなるフォトレジストの除去された部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図1(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。次に図1(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニング(裏打ち)した後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0020】
前述した技術に対して有用な好適な基板には、集積回路または他のマイクロエレクトロニクスデバイスへの加工に適したものが含まれる。基板には、他を排除することなく、以下のような半導体材料が含まれる。たとえばガリウムヒ素(GaAs)、ゲルマニウム、シリコン、シリコンゲルマニウム、ニオブ酸リチウム、ならびにシリコンを含む組成物たとえば結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、および二酸化ケイ素(SiO2)、ならびにこれらの混合物。また基板には、よく知られたリソグラフィ技術によって通常は形成される金属接点ラインが含まれていてもよい。金属接点に対して好適な材料には、アルミニウム、アルミニウム合金、銅、銅合金、チタン、タンタル、およびタングステンが含まれる。これらのラインは、集積回路の導体を形成する。上述した物は通常、互いに接近して分離されており、その距離は好ましくは約20μm以下、より好ましくは約1μm以下、最も好ましくは約0.05から約1μmである。
【0021】
第1の誘電体層および第2の誘電体層は、マイクロエレクトロニクスデバイスの製造で普通に用いられるどんな有機または無機の誘電体材料を含んでいてもよい。本発明を実施する際には低誘電率kの値の誘電体を用いるのが最も好ましい。誘電体には、他を排除することなく、以下のものが含まれていてもよい。OSG、シリコン含有スピンオングラス、すなわちシリコン含有ポリマーたとえばアルコキシシランポリマー、シルセスキオキサンポリマー、シロキサンポリマー;ポリ(アリーレンエーテル)、フッ化ポリ(アリーレンエーテル)、他のポリマー誘電体材料、ナノポーラスシリカ、またはこれらの混合物。本発明において有用な1つの有用なポリマー誘電体材料には、以下の化学式を有するアルコキシシランモノマーから形成されるナノポーラスシリカアルコキシシランポリマーが含まれる。
【0022】
【化1】
【0023】
ここで、少なくとも2つのR基は独立にC1からC4のアルコキシ基であり、その残りは、もしあるのなら、水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群から独立に選択される。好ましくは各Rは、メトキシ、エトキシ、またはプロポキシである。上述の物は、Honeywell International社からNanoglass(商標)として市販されている。最も好ましいアルコキシランモノマーは、テトラエトキシシラン(TEOS)である。同様に有用であるのは、ハイドロジェンシロキサン(hydrogensiloxanes)(化学式は[(HSiO1.5)xOy]n)、ハイドロジェンシルセスキオキサン(hydrogensilsesquioxanes)(化学式は(HSiO1.5)n)、ハイドロオルガノシロキサン(hydroorganosiloxanes)(化学式は[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]nおよび[(HSiO1.5)xOy(RSiO1.5)z]n)。これらのポリマー化学式のそれぞれにおいて、x=約6から約20、y=1から約3、z=約6から約20、n=1から約4000、また各Rは独立に、H、C1からC8のアルキル、またはC6からC12のアリルである。重量平均分子量は、約1000から約220000の範囲であると考えられる。好ましい実施形態においては、nは約100から約800の範囲であり、分子量として約5000から約45000をもたらす。より好ましくは、nは約250から約650の範囲であり、分子量として約14000から約36000をもたらす。本発明の文脈の範囲内で有用なポリマーには、他を排除することなく以下のものが含まれる。ハイドロジェンシロキサン、ハイドロジェンシルセスキオキサン、ハイドロジェンメチルシロキサン(hydrogenmethylsiloxane)、ハイドロジェンエチルシロキサン(hydrogenethylsiloxane)、ハイドロジェンプロピルシロキサン(hydrogenpropylsiloxane)、ハイドロジェンブチルシロキサン(hydrogenbutylsiloxane)、ハイドロジェンtert−ブチルシロキサン(hydrogentert−butylsiloxane)、ハイドロジェンフェニルシロキサン(hydrogenphenylsiloxane)、ハイドロジェンメチルシルセスキオキサン(hydrogenmethylsilsesquioxane)、ハイドロジェンエチルシルセスキオキサン(hydrogenethylsilsesquioxane)、ハイドロジェンプロピルシルセスキオキサン(hydrogenpropylsilsesquioxane)、ハイドロジェンブチルシルセスキオキサン(hydrogenbutylsilsesquioxane)、ハイドロジェンtert−ブチルシルセスキオキサン(hydrogentert−butylsilsesquioxane)、およびハイドロジェンフェニルシルセスキオキサン(hydrogenphenylsilsesquioxane)、ならびにこれらの混合物である。ハイドロオルガノシロキサン、ポリ(アリーレンエーテル)、フッ化ポリ(アリーレンエーテル)、およびこれらの混合物が好ましい。好適なポリ(アリーレンエーテル)またはフッ化ポリ(アリーレンエーテル)は、米国特許第5,155,175号、第5,114,780号、および第5,115,082号から、当該技術分野において知られている。好ましいポリ(アリーレンエーテル)およびフッ化ポリ(アリーレンエーテル)は、米国特許出願第08/990,157号(1997年12月12日に出願)において開示されている。なお、この文献は本明細書において参照により取り入れられている。本発明での使用に対して好ましいシロキサン材料は、Honeywell International社から、Accuglass(登録商標)T−11、T−12、およびT−14の商標名で市販されている。同様に有用であるのは、Honeywell International社から、Purespin(商標)およびAccuspin(登録商標)T18、T23、およびT24の商標名で市販されているメチル化シロキサンポリマーである。好ましいシリコン含有誘電体ポリマーは、[(HSiO1.5)xOy]n、(HSiO1.5)n、[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]nおよび[(HSiO1.5)xOy(RSiO1.5)z]nからなる群から選択される化学式を有する。ここで、x=約6から約20、y=1から約3、z=約6から約20、n=1から約4000、また各Rは独立に、H、C1からC8のアルキル、またはC6からC12のアリルであり、米国特許出願第08/955,802号(1997年10月22日に出願)において開示されている。なお、この文献は本明細書において参照により取り入れられている。同様に有用であるのは、ある種の低有機物含有量シリコン含有ポリマー、たとえば以下の化学式Iを有するものである。
【0024】
【化2】
【0025】
ここで、nおよびmの合計、またはx、y、およびzの合計は、約8から約5000であり、mおよびyの選択は、炭素含有置換基が約40モルパーセントを下回る量で存在するように行われる。構造Iを有するポリマーは、炭素含有置換基が約40モルパーセントを下回る量で存在する低有機物含有量である。これらのポリマーは、米国特許出願第09/044,831号(1998年3月20日に出願)において、より十分に記載されている。なお、この文献は本明細書において参照により取り入れられている。同様に好ましいのは、ある種の高有機物含有量シリコン含有ポリマー、たとえば以下の化学式IIを有するものである。
【0026】
【化3】
【0027】
ここで、nおよびmの合計は約8から約5000であり、mの選択は、炭素含有置換基が約40モルパーセント以上の量で存在するように行われる。さらに、
【0028】
【化4】
【0029】
ここでx、y、およびzの合計は、約8から約5000であり、yの選択は、炭素含有置換基が約40モルパーセント以上の量で存在するように行われる。またRは、置換および非置換直鎖および枝分かれアルキル基、シクロアルキル基、置換および非置換アリル基、ならびにこれらの混合物から選択される。炭素含有置換基の具体的なモルパーセントは、出発材料の量の比率の関数である。構造IIを有するポリマーは、炭素含有置換基が約40モルパーセント以上の量で存在する高有機物含有量である。これらのポリマーは、米国特許出願第09/044,798号(1998年3月20日に出願)において、より十分に記載されている。なお、この文献は本明細書において参照により取り入れられている。ポリマーは誘電体組成物中に、純粋なまたは混ぜ物のない(わずかな溶媒とも混合されていない)状態で存在していてもよいし、溶媒と混合される溶液中に存在していてもよい。溶媒が存在するときには、ポリマーは好ましくは、約1重量%から約50重量%、より好ましくは約3%から約20%のポリマーの量で存在する。溶媒の成分は好ましくは、約50重量%から約99重量%、より好ましくは約80%から約97%の誘電体組成物の量で存在する。好適な溶媒には、他を排除することなく以下のものが含まれる。中性溶媒たとえば環状ケトン(シクロペンタノン、シクロヘキサノン、シクロヘキサノンおよびシクロオクタノンなど);環状アミドたとえばN−アルキルピロリジノン(N−alkylpyrrolidinone)(アルキル基が1から約4の炭素原子を有する)、およびN−シクロヘキシル−ピロリジノン(N−cyclohexyl−pyrrolidinone)、ならびにこれらの混合物。
【0030】
基板上への誘電体材料の被膜は、当該技術分野でよく知られたスピンコーティング、ディップコーティング、ローラーコーティング、スプレーイング、化学的気相成長、メニスカスコーティングなどの従来の方法で行ってもよい。スピンコーティングが最も好ましい。誘電体層の厚みは、被膜方法およびパラメータ設定に応じて変化してもよいが、通常は厚みの範囲は約500Åから約50000Å、好ましくは約2000Åから約12000Åであると考えられる。最も好ましい実施形態においては、液体誘電体組成物を適切な表面上で回転させることを、既知のスピン技術に従って、たとえば液体誘電体組成物を表面に塗布した後回転ホイール上で約500から約6000rpmの範囲の速度で約5から約60秒間回転させることによって行う。
【0031】
誘電体材料を随意に加熱して、残留溶媒を除去するかまたはその分子量を増加させてもよい。加熱は、空気中または不活性雰囲気中でホットプレート上で加熱するなどの従来方法によって行ってもよいし、炉またはオーブン内で空気中または不活性雰囲気中で行ってもよいし、真空炉または真空オーブン内で行ってもよい。加熱は好ましくは、約80℃から約500℃、より好ましくは約150℃から約425℃の温度で行う。この加熱は好ましくは、約1分から約360分の間、より好ましくは約2から約60分の間行う。また誘電体材料を随意に、UV光などの化学線に露光して、その分子量を増加させてもよい。露光量は、約100mJ/cm2から約300mJ/cm2の範囲であると考えられる。誘電体材料を随意に、全体的に電子ビーム放射に露光することによって、硬化させてもよい。電子ビーム露光は、ビーム加速を設定することによって制御してもよい。電子ビーム放射は、内部に置いた基板に電子ビーム放射を与える手段を有するならば、どんなチャンバの中で行ってもよい。電子ビーム露光ステップは、大面積ビーム源からの電子放射の幅広の大きなビームを用いて行うのが好ましい。好ましくは、大面積の電子源を与える電子ビームチャンバを用いる。好適な電子ビームチャンバは、Electron Vision社(サンディエゴ、カリフォルニア州)から、商標「ElectronCure(商標)」で市販されている。上記デバイスの動作原理および性能特性は、米国特許第5,003,178号に記載されている。なお、この文献の開示は本明細書において参照により取り入れられている。電子ビーム露光の温度は好ましくは、約20℃から約450℃、好ましくは約50℃から約400℃、最も好ましくは約200℃から約400℃の範囲である。電子ビームエネルギーは好ましくは、約0.5KeVから約30KeV、より好ましくは約3から約10KeVである。電子ドーズは好ましくは、約1から約50,000μC/cm2、より好ましくは約50から約20,000μC/cm2である。電子ビームツール内のガス周囲は、次のガスの何れにすることもできる。窒素、酸素、水素、アルゴン、水素および窒素のブレンド、アンモニア、キセノン、またはこれらのガスの任意の組合せ。電子ビーム電流は好ましくは、約1から約40mA、より好ましくは約5から約20mAである。好ましくは、電子ビーム露光ステップは、約25.81cm2(4平方インチ)から約1651.61cm2(256平方インチ)の面積に及ぶ均一な大面積電子ビーム源からの電子ビーム放射の幅広の大きなビームを用いて行う。
【0032】
本発明を実施する際には、第1の誘電体層は、任意のエッチストップ層とは著しく異なるエッチ耐性特性を有し、任意のエッチストップ層は、第2の誘電体層とは著しく異なるエッチ耐性特性を有することが好ましい。第1の誘電体層は、第2の誘電体層と同じであってもよいし異なっていてもよい。任意のエッチストップ層が無い場合には、第1の誘電体層は、第2の誘電体層と実質的に同じかまたは著しく異なるエッチ耐性特性を有していなければならない。第1および第2の誘電体層が実質的に同じエッチ耐性特性を有し、エッチストップ層が全く無い場合には、各層のエッチング時間を制御することによって、エッチングを行ってもよい。有用なエッチストップ層には、他を排除することなく、窒化ケイ素、酸窒化ケイ素、二酸化ケイ素、炭化ケイ素、酸炭化ケイ素、スピンオン溶液たとえばスピンオングラス、有機ポリマー、水素シルセスキオキサンおよびメチルシルセスキオキサン、ならびにこれらの組合せが含まれる。
【0033】
フォトレジスト成分は、ポジティブ作用であってもネガティブ作用であってもよく、一般に市販されている。本発明を実施する際には、ポジティブ作用フォトレジストの方が好ましい。好適なポジティブ作用材料は、当該技術分野においてよく知られており、o−キノンジアジド放射増感剤を含んでいてもよい。o−キノンジアジド増感剤には、米国特許第2,797,213号、第3,106,465号、第3,148,983号、第3,130,047号、第3,201,329号、第3,785,825号、第3,802,885号に開示されるo−キノン−4−または−5−スルホニル−ジアジドが含まれる。o−キノンジアジドを用いる場合、好ましい結合樹脂には、水不溶性、アルカリ水溶性(aqueous alkaline soluble)、または膨潤性の結合樹脂(好ましくはノボラック)が含まれる。好適なポジティブ光誘電樹脂は、たとえばAZ−P4620の商標名でClariant社(サマービル、ニュージャージー州)から市販品として入手することができる。フォトレジスト材料は、スピンコーティングなどの従来方法によって被膜させてもよい。フォトレジスト層の厚みは、被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約1000Åから約30000Å、より好ましくは約2000Åから約10000Å、最も好ましくは約3000Åから約7500Åの範囲である。
【0034】
フォトレジストをイメージに関して除去することは、当該技術分野においてよく知られた方法で行う。たとえば、フォトレジストを化学線にたとえば好適なマスクを通してイメージに関して露光した後、フォトレジストを現像することによって行う。フォトレジストは、可視域、紫外域、または赤外域のスペクトルの光などの化学線にマスクを通してイメージに関して露光するか、または電子ビーム、イオンもしくはニュートロンビーム、またはX線放射によってイメージに関して走査してもよい。化学線は、非コヒーレント光の形態であってもコヒーレント光の形態であってもよく、たとえばレーザからの光である。次にフォトレジストを、アルカリ水溶液などの好適な溶媒を用いてイメージに関して現像する。随意に、フォトレジストを加熱してその画像部分を硬化させた後、現像して非画像部分を除去してバイアマスクを規定する。
【0035】
バイアは、孔および開口部を含む、当該技術分野で知られた用語である。バイアに金属または他の導電性材料を充填して、他の金属または導電性接点との電気接続部を形成してもよい。本発明では少なくとも1つのバイアに言及しているが、本発明を実施する際には複数のバイアを形成することが好ましい。これらのバイアは好ましくは、下方に延びてその下の金属接点に至る。
【0036】
トレンチは、バイア間のトンネル状の接続部を含む、当該技術分野で知られている用語である。バイアと同様に、トレンチにも金属または他の導電性材料を充填して、他の金属または導電性接点との電気接続部を形成してもよい。本発明では少なくとも1つのトレンチに言及しているが、本発明を実施する際には複数のトレンチを形成することが好ましい。これらのトレンチは好ましくは、2つ以上のバイアを接続する。
【0037】
保護材料は、フォトレジストと誘電体との間の接触を防止する役目を果たすことによって、レジスト毒作用を防止する。保護材料は、前述したように誘電体材料の表面へ被膜させてもよいし、後述するように表面変更によって誘電体材料の表面へ形成してもよい。
【0038】
被膜保護材料は、CVD、PVD、スピンコーティングなどの従来方法によって被膜させてもよい。好適な被膜保護材料には、他を排除することなく以下のものが含まれる。CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオン溶液たとえば有機ポリマー、SOG、発色団を含むSOGたとえば米国特許出願第09/330,248号(1999年6月10日に出願)に記載されたもの(この文献は本明細書において参照により取り入れられている)、反射防止コーティング(ARC)材料たとえば米国特許第6,033,830号に記載されたもの、および底部反射防止コーティング材料(BARC)たとえば酸窒化ケイ素および米国特許出願第6,121,123号に記載された材料、水素シルセスキオキサンおよびメチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは被膜保護材料は、SOGおよび発色団を含むSOGを含んでいてもよい。本発明を実施する際の最も好ましい被膜保護材料は、発色団を含むSOGである。
【0039】
バイアおよびトレンチの側壁および底面上のバリア金属は、その後に誘電体層内に被膜される導電性材料の拡散を防止する役目を果たす。好適なバリア金属には、他を排除することなく、Ti、Ta、または窒化物たとえばTaNもしくはTiNが含まれる。バリア金属は、蒸着、スパッタリング、蒸発などの従来技術によって塗布してもよい。バリア金属の厚みは、所望する被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約25Åから約1000Å、より好ましくは約50Åから約500Å、最も好ましくは約100Åから約300Åの範囲である。
【0040】
好適な充填金属には、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステン、チタン、もしくは他の金属、またはこれらの組合せ(マイクロエレクトロニクスデバイスの製造において通常用いられる通り)が含まれる。銅が最も好ましい。また充填金属を、蒸着、スパッタリング、蒸発、電気メッキ、無電界メッキなどの技術によって塗布してもよい。本明細書で用いる場合、用語「金属」には金属のアマルガムが含まれる。
【0041】
本発明の第2の実施形態においては、図2(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図2(b)に示すように、フォトレジスト材料層を第2の誘電体層上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するバイアの外形を描く。また図2(b)では、第2の誘電体層と任意のエッチストップ層とから、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成することも示している。次に図2(c)に示すようにフォトレジスト層の残りを除去して、図2(d)に示すように保護材料を第2の誘電体層の上面上とバイアの内壁および底面上とに被膜させる。図2(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図2(f)に示すように、保護材料および第2の誘電体層のそれぞれから、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図2(f)に示すように、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図2(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。図2(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0042】
本発明の第3の実施形態においては、図3(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図3(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図3(b)に示したように、フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図3(c)に示すように、フォトレジスト層の残りを除去し、図3(d)に示すように、第2の誘電体層の上面上とトレンチの内壁および底面上とに保護材料を被膜させる。図3(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図3(f)に示すように、それぞれの層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、保護材料、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図3(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。図3(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0043】
本発明の第4の実施形態においては、図4(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図4(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。また図4(b)に示すように、それぞれの層から、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図4(c)に示すように、フォトレジスト層の残りを除去する。図4(d)に示すように、第2の誘電体層の上面とバイアの内壁および底面の表面とを変更して、それらの上に保護材料を形成する。変更領域の深さは、約5Åから約1000Åの間で変化してもよい。図4(e)に示すように、さらなるフォトレジスト層をこれらの変更表面の保護材料上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図4(f)に示すように、第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁から、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図4(g)に示すように、さらなるフォトレジスト層の残りを除去する。図4(h)に示したように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。保護材料の形成は、バイア、トレンチ、および誘電体層の壁および底面の表面変更によって、たとえばCVDプラズマへの露光、ウェットケミカル露光、制御された周囲中でのアニーリング、UV露光、およびEビーム露光によって、行ってもよい。好適な表面変更CVDプラズマには、N2/H2、H2、NH3、H2O、N2、O2、Ar、Xeが含まれる。
【0044】
本発明の第5の実施形態においては、図5(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図5(b)に示すように、フォトレジスト材料層を第2の誘電体層上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層および任意のエッチストップ層に対するバイアの外形を描く。また図5(b)に示すように、第2の誘電体層と任意のエッチストップ層とから、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成する。次に図5(c)に示すように、フォトレジスト層の残りを除去する。図5(d)に示すように、第2の誘電体層の上面とトレンチの内壁および底面とを変更して、それらの上に保護材料を形成する。図5(e)に示すように、さらなるフォトレジスト層を変更表面の保護材料上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図5(f)に示すように、第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁上の保護材料の、さらなるフォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図5(f)に示すように、第2の誘電体層内にあったバイアの底面上の保護材料の部分と、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図5(g)に示すように、さらなるフォトレジスト層の残りを除去する。図5(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0045】
本発明の第6の実施形態においては、図6(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図6(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。また図6(b)に示すように、それぞれの層から、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図6(c)に示すようにフォトレジスト層の残りを除去し、図6(d)に示すように、バリア金属を、第2の誘電体層の上面上とバイアの内壁および底面の表面上とに体積させて、これらの表面上にバリア金属層を形成する。図6(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上と第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上とのバリア材料層の上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図6(f)に示すように、第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図6(g)に示すように、さらなるフォトレジスト層の残りを除去する。図6(h)に示したように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0046】
バリア層材料は、フォトレジストと誘電体との間にバリアを形成することによって、レジスト毒作用を防ぐ役目を果たす。好適なバリア層材料には、他を排除することなく以下のものが含まれる。CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオン溶液たとえば有機ポリマー、SOG、発色団を含むSOG(1999年6月10日出願の米国特許出願第09/330,248号に記載された通り)、水素シルセスキオキサン、メチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは、バリア層材料はCVDSiO2、SiN、またはSiCである。本発明を実施する際の最も好ましいバリア層材料は、SiO2である。
【0047】
バリア層材料は、CVD、蒸発、スピンコーティング、スパッタリング、および原子層エピタキシなどの従来技術によって塗布してもよい。保護材料の厚みは、所望する被膜方法に応じて変化してもよい。
【0048】
本発明の第7の実施形態においては、図7(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図7(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層および任意のエッチストップ層に対するバイアの外形を描く。また図7(b)に示すように、第2の誘電体層および任意のエッチストップ層の、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成する。次に図7(c)に示すようにフォトレジスト層の残りを除去し、図7(d)に示すようにバリア材料を、第2の誘電体層の上面上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面上とに被膜させて、これらの表面上にバリア材料層を形成する。図7(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上と第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上とのバリア材料層の上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図7(f)に示すように、第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図7(f)に示すように、第2の誘電体層内にあったバイアの底面上のバリア材料層の部分を除去し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図7(g)に示すように、さらなるフォトレジスト層の残りを除去する。図7(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0049】
本発明の第8の実施形態においては、図8(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図8(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図8(b)に示したように、フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図8(c)に示すように、フォトレジスト層の残りを除去し、図8(d)に示すように、第2の誘電体層の上面とトレンチの内壁および底面の表面とを変更して、それらの上に保護材料を形成する。図8(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上とトレンチの壁および底面上との保護材料の上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図8(f)に示すように、それぞれの層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図8(g)に示すように、さらなるフォトレジスト層の残りを除去する。図8(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0050】
本発明の第9の実施形態においては、図9(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図9(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図9(b)に示したように、フォトレジストの除去部分の下方にある、第2の誘電体層の部分と随意にエッチストップ層の部分(もしあるのなら)とを除去して、第2の誘電体層と随意にエッチストップ層とを下方に貫く少なくとも1つのトレンチを形成する。次に図9(c)に示すようにフォトレジスト層の残りを除去し、図9(d)に示すように、バリア材料層を第2の誘電体層の上面上とトレンチの内壁および底面の表面上とに被膜させて、バリア材料層を形成する。図9(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上とトレンチの壁および底面上とのバリア材料層の上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図9(f)に示すように、トレンチの底面上のバリア材料層、エッチストップ層のわずかでも残存している部分、および第1の誘電体層の部分の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、トレンチの底面上のバリア材料層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図9(g)に示すように、さらなるフォトレジスト層の残りを除去する。図9(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0051】
本発明の第10の実施形態においては、図10(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。また図10(a)に示すように、次に第1のハードマスク材料層を第2の誘電体層上に被膜させ、第2のハードマスク層を第1のハードマスク層上に被膜させる。次に図10(b)に示すように、フォトレジスト材料層を第2のハードマスク層の上面上に被膜させた後、フォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対するバイアの外形を描く。また図10(b)に示すように、第2のハードマスク層から、フォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫く少なくとも1つのバイアを形成する。次に図10(c)に示すように、フォトレジスト層の残りを除去する。
【0052】
図10(d)に示すように、さらなるフォトレジスト層を、第2のハードマスク層上と第2のハードマスク層内のバイアの内壁および底面上とに被膜させる。また図10(d)に示すように、さらなるフォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対する少なくとも1つのトレンチの外形を描く。図10(e)に示すように、第1のハードマスク層および第2の誘電体層から、第2のハードマスク層内のバイアの下方にある部分を除去して、第1のハードマスク層と第2の誘電体層とを下方に貫くバイアを形成する。次に図10(f)に示すように、第2のハードマスク層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫くトレンチを形成する。また図10(f)に示すように、任意のエッチストップ層の、第2の誘電体層内のバイアの下方にある部分を除去して、バイアを任意のエッチストップ層を下方に貫くように延長する。次に図10(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第1のハードマスク層と第2の誘電体層とを下方に貫くトレンチを形成する。またこの図では、次に、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を貫くバイアを形成することも示されている。また図10(g)に示すように、次にさらなるフォトレジスト層の残りを除去する。またこの図では、次に、第2の誘電体層を貫いて以前に形成されたバイアの下方にある第1の誘電体層を貫くバイアを形成することも示されている。次に図10(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第2の誘電体層を貫くトレンチを形成する。図10(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0053】
本発明の第11の実施形態においては、図11(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。また図11(a)に示すように、次に第1のハードマスク材料層を第2の誘電体層上に被膜させ、第2のハードマスク層を第1のハードマスク層上に被膜させる。次に図11(b)に示すように、フォトレジスト材料層を第2のハードマスク層の上面上に被膜させた後、フォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対するトレンチの外形を描く。また図11(b)に示すように、第2のハードマスク層から、フォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫く少なくとも1つのトレンチを形成する。次に図11(c)に示すように、フォトレジスト層の残りを除去する。図11(d)に示すように、さらなるフォトレジスト層を、第2のハードマスク層上と第2のハードマスク層内のトレンチの内壁および底面上とに被膜させる。また図11(d)に示すように、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアの外形を描く。図11(e)に示すように、第1のハードマスク層、第2の誘電体層、および任意のエッチストップ層から、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫くバイアを形成する。次に図11(f)に示すように、さらなるフォトレジスト層の残りを除去する。次に図11(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第2の誘電体層を下方に貫くトレンチを形成する。また図11(g)に示すように、次に、第2の誘電体層または任意のエッチストップ層内にあったバイアに対応する第1の誘電体層の部分を除去することによって、第1の誘電体層を貫く少なくとも1つのバイアを形成する。図11(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0054】
第1および第2のハードマスク層は、フォトレジストが誘電体と反応することを防ぐマスクを形成する役目を果たし、その結果レジスト毒作用が防止される。
好適なハードマスク層材料には、他を排除することなく以下のものが含まれる。CVD膜たとえばSiO2、SiN、SiON、SiC、スピンオンポリマーたとえばスピンオングラス、発色団を含むSOG、有機スピンオンポリマー、水素シルセスキオキサン、メチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは、ハードマスク層材料はSiO2、SiON、SiN、SiCである。最も好ましくは、第1のハードマスク層材料にはSiO2が含まれ、第2のハードマスク層にはSi3N4が含まれる。本出願では第1および第2のハードマスク層にのみ言及しているが、本発明を実施する際には複数のハードマスク層を用いることができる。ハードマスク層は、CVD、スピンオン、蒸発、スパッタリング、原子層エピタキシなどの従来技術によって塗布してもよい。ハードマスク層の厚みは、同じであっても違っていてもよく、また被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約100Åから約5000Å、より好ましくは約200Åから約3000Å、最も好ましくは約400Åから約1500Åの範囲である。
【0055】
本発明の図においては、1つの相互接続レベルを形成するためのプロセスが示されているが、より高いレベルの相互接続を得るために同じ処理ステップを繰り返すことができる。
【0056】
本発明を、好ましい実施形態に関して特に図示し説明してきたが、当業者であれば、本発明の趣旨および範囲から逸脱することなく種々の変形および変更ができることを容易に理解するであろう。特許請求の範囲には、開示した実施形態、それらの前述の代替方法、およびそれらに対する全ての均等物が含まれると解釈すべきであることが意図されている。
【図面の簡単な説明】
【0057】
【図1.1】図1a−図1dは、被膜された保護材料とともに深いバイア第1技術を示す、本発明の第1の実施形態を示す図である。
【図1.2】図1e−図1hは、被膜された保護材料とともに深いバイア第1技術を示す、本発明の第1の実施形態を示す図である。
【図2.1】図2a−図2dは、被膜された保護材料とともに浅いバイア第1技術を示す、本発明の第2の実施形態を示す図である。
【図2.2】図2e−図2hは、被膜された保護材料とともに浅いバイア第1技術を示す、本発明の第2の実施形態を示す図である。
【図3.1】図3a−図3dは、被膜された保護材料とともにトレンチ第1技術を示す、本発明の第3の実施形態を示す図である。
【図3.2】図3e−図3hは、被膜された保護材料とともにトレンチ第1技術を示す、本発明の第3の実施形態を示す図である。
【図4.1】図4a−図4dは、表面変更された保護材料の形成とともに深いバイア第1技術を示す、本発明の第4の実施形態を示す図である。
【図4.2】図4e−図4hは、表面変更された保護材料の形成とともに深いバイア第1技術を示す、本発明の第4の実施形態を示す図である。
【図5.1】図5a−図5dは、表面変更された保護材料の形成とともに浅いバイア第1技術を示す、本発明の第5の実施形態を示す図である。
【図5.2】図5e−図5hは、表面変更された保護材料の形成とともに浅いバイア第1技術を示す、本発明の第5の実施形態を示す図である。
【図6.1】図6a−図6dは、バリア材料層とともに深いバイア第1技術を示す、本発明の第6の実施形態を示す図である。
【図6.2】図6e−図6hは、バリア材料層とともに深いバイア第1技術を示す、本発明の第6の実施形態を示す図である。
【図7.1】図7a−図7dは、バリア材料層とともに浅いバイア第1技術を示す、本発明の第7の実施形態を示す図である。
【図7.2】図7e−図7hは、バリア材料層とともに浅いバイア第1技術を示す、本発明の第7の実施形態を示す図である。
【図8.1】図8a−図8dは、表面変更された保護材料の形成とともにトレンチ第1技術を示す、本発明の第8の実施形態を示す図である。
【図8.2】図8e−図8hは、表面変更された保護材料の形成とともにトレンチ第1技術を示す、本発明の第8の実施形態を示す図である。
【図9.1】図9a−図9dは、バリア材料層とともにトレンチ第1技術を示す、本発明の第9の実施形態を示す図である。
【図9.2】図9e−図9hは、バリア材料層とともにトレンチ第1技術を示す、本発明の第9の実施形態を示す図である。
【図10.1】図10a−図10dは、第1および第2のハードマスク層とともにバイア第1技術を示す、本発明の第10の実施形態を示す図である。
【図10.2】図10e−図10hは、第1および第2のハードマスク層とともにバイア第1技術を示す、本発明の第10の実施形態を示す図である。
【図11.1】図11a−図11dは、第1および第2のハードマスク層とともにトレンチ第1技術を示す、本発明の第11の実施形態を示す図である。
【図11.2】図11e−図11hは、第1および第2のハードマスク層とともにトレンチ第1技術を示す、本発明の第11の実施形態を示す図である。
【0001】
本発明は、集積回路デバイスなどのマイクロエレクトロニクスデバイスにおける構造の形成に関する。より詳細には、本発明は、マイクロエレクトロニクスデバイスの形成中のフォトレジスト毒作用の防止に関する。
【背景技術】
【0002】
マイクロエレクトロニクスの製造では、当該技術分野において、集積回路(IC)を形成するための選択的な順序で、種々の金属層と絶縁層とを基板上に被膜させることが知られている。本明細書で用いる場合、用語「マイクロエレクトロニクスデバイス」には、集積回路、金属間誘電体などが含まれる。通常は、第1のレベルの金属層が基板上に被膜されて、1つまたは複数の絶縁層によって第2のレベルの金属層と分離される。その後の金属層も同様に、1つまたは複数のさらなる絶縁層によって分離されることがある。
【0003】
絶縁層(通常は二酸化ケイ素、酸窒化ケイ素、フッ化ケイ酸塩ガラス(FSG)、スピンオンガラス(SOG)などの誘電体材料を含む)は、金属間誘電体層の間の電気絶縁として働く。これらの絶縁層は通常、化学蒸着法(CVD)などの従来技術によって被膜され、基板の平坦化を実現するための保護層または間隙充填材として働く。金属層は通常、アルミニウム、銅、チタン、タンタル、タングステンなどの導電性金属を含む。
【0004】
これらのマイクロエレクトロニクスデバイスの形成中に、標準的なフォトリソグラフィおよびエッチング技術を用いて誘電体の一部を除去する必要がある。トレンチ、バイアなどが誘電体内に形成され、導電性金属が充填されて、集積回路における金属接点との電気接続部が形成される。このようなプロセシングの際、誘電体は他の材料、たとえば(これに限定されないが)フォトレジストおよび反射防止コーティング(ARC)と、接触する可能性がある。
【0005】
この材料間の接触から生じる問題の1つは、フォトレジストとある種の誘電体との間で反応が起こり得るということである。これは、有機ケイ酸塩ガラス(OSG)といわれる種類の誘電体材料の場合に、特に重要である。このガラスには、HOSP(商標)、Black Diamond(商標)、およびCoral(商標)などの商標名の材料が含まれる。これらの材料はポーラスまたは非ポーラスの何れかであり得る。これらの材料は、産業において極めて魅力的である。と言うのは、これらの誘電率は二酸化ケイ素のそれよりも非常に低いからである。OSGとフォトレジスト/ARCとの間で起こる反応によって、エッチング、アッシング、および/または化学的ストリッピングによる除去が難しい反応生成物がバイア内に生じる。その結果、相互接続構造のその後のパターニングがもはやフォトレジストによっては規定されず、フォトレジストの再加工が困難または不可能になる場合がある。この現象は「フォトレジスト毒作用」、「レジスト毒作用」、「ネイルヘッディング」、および/または「マッシュルーミング」として知られている。現像の際、フォトレジスト毒作用によって、フォトレジスト層の露出したパターン領域が、非均一な側壁を伴うフォトレジストプロファイルまたは構造を有する。ポジティブフォトレジストを用いる場合には、フォトレジスト毒作用によって、フォトレジストフーチング(footing)が形成されるか、または基板のすぐ上のフォトレジストラインが広くなることが多い。ネガティブフォトレジストを用いる場合には、フォトレジストピンチングが起こることが考えられる。これは、フォトリソグラフィ露出および現像の後に、その下の基板上にフォトレジストプロファイルの非均一な側壁が形成されることである。エッチングの後、このようなフォトレジストフーチングまたはフォトレジストピンチングの問題によって、フォトレジストパターンの、その下の1つまたは複数の層への転写が不完全になる。いくつかの好ましい相互接続製造方法、特にデュアルダマシンプロセスにおいては、このOSG/フォトレジスト反応によって、相互接続の形成が困難または不可能となる場合がある。
【発明の開示】
【発明が解決しようとする課題】
【0006】
レジスト形成中のフォトレジスト層の毒作用を回避する、集積回路の形成方法を考案することが望ましいであろう。本発明の主題は、誘電体材料とフォトレジストとの間に中間層を被膜または形成することによって、フォトレジスト毒作用の原因となる反応を抑制することである。
【課題を解決するための手段】
【0007】
本発明によれば、絶縁層の誘電体材料をフォトレジスト材料から保護して、フォトレジスト毒作用につながる化学反応を防止する。これは、誘電体材料を覆うさらなる層を被膜させるかまたはプラズマもしくは化学処理に対する誘電体材料の露出表面を変更し、変更された表面層を誘電体材料上に形成することによって行われる。
【0008】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイア(via)に対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とバイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成するステップと、
(i)さらなるフォトレジスト層の残りと保護材料の残りとを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含む、マイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【0009】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とバイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)保護材料および第2の誘電体層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去することによって、第1の誘電体層を下方に貫くバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【0010】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上とトレンチの内壁および底面上とに保護材料を被膜させるステップと、
(g)保護材料上にさらなるフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、保護材料、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0011】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの内壁の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含む、マイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0012】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁上の保護材料の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの底面上の保護材料の部分と第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分とを除去することによって、第1の誘電体層を貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0013】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上と、第2の誘電体層、任意のエッチストップおよび第1の誘電体層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上と、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁上のバリア材料層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0014】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)第2の誘電体層と任意のエッチストップ層との、フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上と第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁上のバリア材料層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの底面からのバリア材料層の部分と、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分とを除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)さらなるフォトレジスト層の残りを除去するステップと、
(j)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0015】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去することによって、第2の誘電体層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、トレンチの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)第2の誘電体層の上面上の保護材料上と、トレンチの壁および底面上の保護材料上とに、さらなるフォトレジスト層を被膜させ、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0016】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層の上面上にフォトレジスト層を被膜させて、第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)フォトレジストの除去部分の下方にある、第2の誘電体層の部分を除去し、もしあるのならエッチストップ層を随意に除去することによって、第2の誘電体層を貫きかつ随意にエッチストップ層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(f)第2の誘電体層の上面と、トレンチの内壁および底面の表面とにバリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)第2の誘電体層の上面上とトレンチの内壁および底面上とのバリア材料層上に、さらなるフォトレジスト層を被膜させ、第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(h)トレンチの底面上のバリア材料層、エッチストップ層のわずかでも残存している部分、および第1の誘電体層の部分のそれぞれの、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、トレンチの底面上のバリア材料層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(i)トレンチの内壁および底面上のバリア材料層上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0017】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)第2のハードマスク層の上面にフォトレジスト層を被膜させて、第2のハードマスク層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(g)第2のハードマスク層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を貫く少なくとも1つのバイアを形成し、フォトレジスト層の残りを除去するステップと、
(h)第2のハードマスク層の上面上と、第2のハードマスク内のバイアの内壁および底面上とにさらなるフォトレジスト層を被膜させ、第2のハードマスク層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(i)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のバイアの下方にある部分を除去することによって、第1のハードマスク層と第2の誘電体層とを下方に貫く少なくとも1つのバイアを形成するステップと、
(j)第2のハードマスク層の、さらなるフォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を下方に貫く少なくとも1つのトレンチを形成し、任意のエッチストップ層の、第2の誘電体層内のバイアの下方にある部分を除去することによって、バイアを任意のエッチストップ層を下方に貫くように延長するステップと、
(k)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のトレンチの下方にある部分を除去することによって、第1のハードマスク層と第2の誘電体層とを下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去することによって、第1の誘電体層を貫くバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(l)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(m)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスをさらに提供する。
【0018】
本発明は、
(a)基板上に第1の誘電体層を形成するステップと、
(b)第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)第2のハードマスク層の上面にフォトレジスト層を被膜させて、第2のハードマスク層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(g)第2のハードマスク層の、フォトレジストの除去部分の下方にある部分を除去することによって、第2のハードマスク層を貫く少なくとも1つのトレンチを形成し、フォトレジスト層の残りを除去するステップと、
(h)第2のハードマスク層の上面上とトレンチの内壁および底面上とにさらなるフォトレジスト層を被膜させ、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(i)第1のハードマスク層、第2の誘電体層、および任意のエッチストップ層の、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、さらなるフォトレジスト層の残りを除去するステップと、
(j)第1のハードマスク層と第2の誘電体層との、第2のハードマスク層内のトレンチの下方にある部分を除去することによって、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、第2の誘電体層または任意のエッチストップ層内のバイアに対応する第1の誘電体層の部分を除去することによって、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(k)トレンチの内壁および底面上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(l)バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップとを含むマイクロエレクトロニクスデバイスを製造するプロセスを提供する。
【発明を実施するための最良の形態】
【0019】
本発明の第1の実施形態においては、図1(a)に示すように、第1の誘電体材料を基板の表面上に被膜させて、第1の誘電体層を基板上に形成する。次に任意のエッチストップ材料を第1の誘電体層上に被膜させて、任意のエッチストップ層を形成してもよい。次に第2の誘電体材料を第1の誘電体層または任意のエッチストップ層上に被膜させることによって、第2の誘電体層を形成する。次にフォトレジスト材料層を第2の誘電体層の上面上に形成し、フォトレジストの一部を標準的なフォトリソグラフィ技術によってイメージに関して(imagewise)除去して、第1の誘電体層に対するバイアの外形を描く。次に図1(b)に示すように、フォトレジストの除去された部分の下方にある各層の部分を除去して、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図1(c)に示すように、フォトレジスト層の残りを除去する。図1(d)に示すように、保護材料(この場合は犠牲膜(SAC))を、第2の誘電体層の上面上とバイアの内壁および底面上とに被膜させる。次に図1(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対する少なくとも1つのトレンチの外形を描く。図1(f)に示すように、保護材料および第2の誘電体材料から、さらなるフォトレジストの除去された部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図1(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。次に図1(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニング(裏打ち)した後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0020】
前述した技術に対して有用な好適な基板には、集積回路または他のマイクロエレクトロニクスデバイスへの加工に適したものが含まれる。基板には、他を排除することなく、以下のような半導体材料が含まれる。たとえばガリウムヒ素(GaAs)、ゲルマニウム、シリコン、シリコンゲルマニウム、ニオブ酸リチウム、ならびにシリコンを含む組成物たとえば結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、および二酸化ケイ素(SiO2)、ならびにこれらの混合物。また基板には、よく知られたリソグラフィ技術によって通常は形成される金属接点ラインが含まれていてもよい。金属接点に対して好適な材料には、アルミニウム、アルミニウム合金、銅、銅合金、チタン、タンタル、およびタングステンが含まれる。これらのラインは、集積回路の導体を形成する。上述した物は通常、互いに接近して分離されており、その距離は好ましくは約20μm以下、より好ましくは約1μm以下、最も好ましくは約0.05から約1μmである。
【0021】
第1の誘電体層および第2の誘電体層は、マイクロエレクトロニクスデバイスの製造で普通に用いられるどんな有機または無機の誘電体材料を含んでいてもよい。本発明を実施する際には低誘電率kの値の誘電体を用いるのが最も好ましい。誘電体には、他を排除することなく、以下のものが含まれていてもよい。OSG、シリコン含有スピンオングラス、すなわちシリコン含有ポリマーたとえばアルコキシシランポリマー、シルセスキオキサンポリマー、シロキサンポリマー;ポリ(アリーレンエーテル)、フッ化ポリ(アリーレンエーテル)、他のポリマー誘電体材料、ナノポーラスシリカ、またはこれらの混合物。本発明において有用な1つの有用なポリマー誘電体材料には、以下の化学式を有するアルコキシシランモノマーから形成されるナノポーラスシリカアルコキシシランポリマーが含まれる。
【0022】
【化1】
【0023】
ここで、少なくとも2つのR基は独立にC1からC4のアルコキシ基であり、その残りは、もしあるのなら、水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群から独立に選択される。好ましくは各Rは、メトキシ、エトキシ、またはプロポキシである。上述の物は、Honeywell International社からNanoglass(商標)として市販されている。最も好ましいアルコキシランモノマーは、テトラエトキシシラン(TEOS)である。同様に有用であるのは、ハイドロジェンシロキサン(hydrogensiloxanes)(化学式は[(HSiO1.5)xOy]n)、ハイドロジェンシルセスキオキサン(hydrogensilsesquioxanes)(化学式は(HSiO1.5)n)、ハイドロオルガノシロキサン(hydroorganosiloxanes)(化学式は[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]nおよび[(HSiO1.5)xOy(RSiO1.5)z]n)。これらのポリマー化学式のそれぞれにおいて、x=約6から約20、y=1から約3、z=約6から約20、n=1から約4000、また各Rは独立に、H、C1からC8のアルキル、またはC6からC12のアリルである。重量平均分子量は、約1000から約220000の範囲であると考えられる。好ましい実施形態においては、nは約100から約800の範囲であり、分子量として約5000から約45000をもたらす。より好ましくは、nは約250から約650の範囲であり、分子量として約14000から約36000をもたらす。本発明の文脈の範囲内で有用なポリマーには、他を排除することなく以下のものが含まれる。ハイドロジェンシロキサン、ハイドロジェンシルセスキオキサン、ハイドロジェンメチルシロキサン(hydrogenmethylsiloxane)、ハイドロジェンエチルシロキサン(hydrogenethylsiloxane)、ハイドロジェンプロピルシロキサン(hydrogenpropylsiloxane)、ハイドロジェンブチルシロキサン(hydrogenbutylsiloxane)、ハイドロジェンtert−ブチルシロキサン(hydrogentert−butylsiloxane)、ハイドロジェンフェニルシロキサン(hydrogenphenylsiloxane)、ハイドロジェンメチルシルセスキオキサン(hydrogenmethylsilsesquioxane)、ハイドロジェンエチルシルセスキオキサン(hydrogenethylsilsesquioxane)、ハイドロジェンプロピルシルセスキオキサン(hydrogenpropylsilsesquioxane)、ハイドロジェンブチルシルセスキオキサン(hydrogenbutylsilsesquioxane)、ハイドロジェンtert−ブチルシルセスキオキサン(hydrogentert−butylsilsesquioxane)、およびハイドロジェンフェニルシルセスキオキサン(hydrogenphenylsilsesquioxane)、ならびにこれらの混合物である。ハイドロオルガノシロキサン、ポリ(アリーレンエーテル)、フッ化ポリ(アリーレンエーテル)、およびこれらの混合物が好ましい。好適なポリ(アリーレンエーテル)またはフッ化ポリ(アリーレンエーテル)は、米国特許第5,155,175号、第5,114,780号、および第5,115,082号から、当該技術分野において知られている。好ましいポリ(アリーレンエーテル)およびフッ化ポリ(アリーレンエーテル)は、米国特許出願第08/990,157号(1997年12月12日に出願)において開示されている。なお、この文献は本明細書において参照により取り入れられている。本発明での使用に対して好ましいシロキサン材料は、Honeywell International社から、Accuglass(登録商標)T−11、T−12、およびT−14の商標名で市販されている。同様に有用であるのは、Honeywell International社から、Purespin(商標)およびAccuspin(登録商標)T18、T23、およびT24の商標名で市販されているメチル化シロキサンポリマーである。好ましいシリコン含有誘電体ポリマーは、[(HSiO1.5)xOy]n、(HSiO1.5)n、[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]nおよび[(HSiO1.5)xOy(RSiO1.5)z]nからなる群から選択される化学式を有する。ここで、x=約6から約20、y=1から約3、z=約6から約20、n=1から約4000、また各Rは独立に、H、C1からC8のアルキル、またはC6からC12のアリルであり、米国特許出願第08/955,802号(1997年10月22日に出願)において開示されている。なお、この文献は本明細書において参照により取り入れられている。同様に有用であるのは、ある種の低有機物含有量シリコン含有ポリマー、たとえば以下の化学式Iを有するものである。
【0024】
【化2】
【0025】
ここで、nおよびmの合計、またはx、y、およびzの合計は、約8から約5000であり、mおよびyの選択は、炭素含有置換基が約40モルパーセントを下回る量で存在するように行われる。構造Iを有するポリマーは、炭素含有置換基が約40モルパーセントを下回る量で存在する低有機物含有量である。これらのポリマーは、米国特許出願第09/044,831号(1998年3月20日に出願)において、より十分に記載されている。なお、この文献は本明細書において参照により取り入れられている。同様に好ましいのは、ある種の高有機物含有量シリコン含有ポリマー、たとえば以下の化学式IIを有するものである。
【0026】
【化3】
【0027】
ここで、nおよびmの合計は約8から約5000であり、mの選択は、炭素含有置換基が約40モルパーセント以上の量で存在するように行われる。さらに、
【0028】
【化4】
【0029】
ここでx、y、およびzの合計は、約8から約5000であり、yの選択は、炭素含有置換基が約40モルパーセント以上の量で存在するように行われる。またRは、置換および非置換直鎖および枝分かれアルキル基、シクロアルキル基、置換および非置換アリル基、ならびにこれらの混合物から選択される。炭素含有置換基の具体的なモルパーセントは、出発材料の量の比率の関数である。構造IIを有するポリマーは、炭素含有置換基が約40モルパーセント以上の量で存在する高有機物含有量である。これらのポリマーは、米国特許出願第09/044,798号(1998年3月20日に出願)において、より十分に記載されている。なお、この文献は本明細書において参照により取り入れられている。ポリマーは誘電体組成物中に、純粋なまたは混ぜ物のない(わずかな溶媒とも混合されていない)状態で存在していてもよいし、溶媒と混合される溶液中に存在していてもよい。溶媒が存在するときには、ポリマーは好ましくは、約1重量%から約50重量%、より好ましくは約3%から約20%のポリマーの量で存在する。溶媒の成分は好ましくは、約50重量%から約99重量%、より好ましくは約80%から約97%の誘電体組成物の量で存在する。好適な溶媒には、他を排除することなく以下のものが含まれる。中性溶媒たとえば環状ケトン(シクロペンタノン、シクロヘキサノン、シクロヘキサノンおよびシクロオクタノンなど);環状アミドたとえばN−アルキルピロリジノン(N−alkylpyrrolidinone)(アルキル基が1から約4の炭素原子を有する)、およびN−シクロヘキシル−ピロリジノン(N−cyclohexyl−pyrrolidinone)、ならびにこれらの混合物。
【0030】
基板上への誘電体材料の被膜は、当該技術分野でよく知られたスピンコーティング、ディップコーティング、ローラーコーティング、スプレーイング、化学的気相成長、メニスカスコーティングなどの従来の方法で行ってもよい。スピンコーティングが最も好ましい。誘電体層の厚みは、被膜方法およびパラメータ設定に応じて変化してもよいが、通常は厚みの範囲は約500Åから約50000Å、好ましくは約2000Åから約12000Åであると考えられる。最も好ましい実施形態においては、液体誘電体組成物を適切な表面上で回転させることを、既知のスピン技術に従って、たとえば液体誘電体組成物を表面に塗布した後回転ホイール上で約500から約6000rpmの範囲の速度で約5から約60秒間回転させることによって行う。
【0031】
誘電体材料を随意に加熱して、残留溶媒を除去するかまたはその分子量を増加させてもよい。加熱は、空気中または不活性雰囲気中でホットプレート上で加熱するなどの従来方法によって行ってもよいし、炉またはオーブン内で空気中または不活性雰囲気中で行ってもよいし、真空炉または真空オーブン内で行ってもよい。加熱は好ましくは、約80℃から約500℃、より好ましくは約150℃から約425℃の温度で行う。この加熱は好ましくは、約1分から約360分の間、より好ましくは約2から約60分の間行う。また誘電体材料を随意に、UV光などの化学線に露光して、その分子量を増加させてもよい。露光量は、約100mJ/cm2から約300mJ/cm2の範囲であると考えられる。誘電体材料を随意に、全体的に電子ビーム放射に露光することによって、硬化させてもよい。電子ビーム露光は、ビーム加速を設定することによって制御してもよい。電子ビーム放射は、内部に置いた基板に電子ビーム放射を与える手段を有するならば、どんなチャンバの中で行ってもよい。電子ビーム露光ステップは、大面積ビーム源からの電子放射の幅広の大きなビームを用いて行うのが好ましい。好ましくは、大面積の電子源を与える電子ビームチャンバを用いる。好適な電子ビームチャンバは、Electron Vision社(サンディエゴ、カリフォルニア州)から、商標「ElectronCure(商標)」で市販されている。上記デバイスの動作原理および性能特性は、米国特許第5,003,178号に記載されている。なお、この文献の開示は本明細書において参照により取り入れられている。電子ビーム露光の温度は好ましくは、約20℃から約450℃、好ましくは約50℃から約400℃、最も好ましくは約200℃から約400℃の範囲である。電子ビームエネルギーは好ましくは、約0.5KeVから約30KeV、より好ましくは約3から約10KeVである。電子ドーズは好ましくは、約1から約50,000μC/cm2、より好ましくは約50から約20,000μC/cm2である。電子ビームツール内のガス周囲は、次のガスの何れにすることもできる。窒素、酸素、水素、アルゴン、水素および窒素のブレンド、アンモニア、キセノン、またはこれらのガスの任意の組合せ。電子ビーム電流は好ましくは、約1から約40mA、より好ましくは約5から約20mAである。好ましくは、電子ビーム露光ステップは、約25.81cm2(4平方インチ)から約1651.61cm2(256平方インチ)の面積に及ぶ均一な大面積電子ビーム源からの電子ビーム放射の幅広の大きなビームを用いて行う。
【0032】
本発明を実施する際には、第1の誘電体層は、任意のエッチストップ層とは著しく異なるエッチ耐性特性を有し、任意のエッチストップ層は、第2の誘電体層とは著しく異なるエッチ耐性特性を有することが好ましい。第1の誘電体層は、第2の誘電体層と同じであってもよいし異なっていてもよい。任意のエッチストップ層が無い場合には、第1の誘電体層は、第2の誘電体層と実質的に同じかまたは著しく異なるエッチ耐性特性を有していなければならない。第1および第2の誘電体層が実質的に同じエッチ耐性特性を有し、エッチストップ層が全く無い場合には、各層のエッチング時間を制御することによって、エッチングを行ってもよい。有用なエッチストップ層には、他を排除することなく、窒化ケイ素、酸窒化ケイ素、二酸化ケイ素、炭化ケイ素、酸炭化ケイ素、スピンオン溶液たとえばスピンオングラス、有機ポリマー、水素シルセスキオキサンおよびメチルシルセスキオキサン、ならびにこれらの組合せが含まれる。
【0033】
フォトレジスト成分は、ポジティブ作用であってもネガティブ作用であってもよく、一般に市販されている。本発明を実施する際には、ポジティブ作用フォトレジストの方が好ましい。好適なポジティブ作用材料は、当該技術分野においてよく知られており、o−キノンジアジド放射増感剤を含んでいてもよい。o−キノンジアジド増感剤には、米国特許第2,797,213号、第3,106,465号、第3,148,983号、第3,130,047号、第3,201,329号、第3,785,825号、第3,802,885号に開示されるo−キノン−4−または−5−スルホニル−ジアジドが含まれる。o−キノンジアジドを用いる場合、好ましい結合樹脂には、水不溶性、アルカリ水溶性(aqueous alkaline soluble)、または膨潤性の結合樹脂(好ましくはノボラック)が含まれる。好適なポジティブ光誘電樹脂は、たとえばAZ−P4620の商標名でClariant社(サマービル、ニュージャージー州)から市販品として入手することができる。フォトレジスト材料は、スピンコーティングなどの従来方法によって被膜させてもよい。フォトレジスト層の厚みは、被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約1000Åから約30000Å、より好ましくは約2000Åから約10000Å、最も好ましくは約3000Åから約7500Åの範囲である。
【0034】
フォトレジストをイメージに関して除去することは、当該技術分野においてよく知られた方法で行う。たとえば、フォトレジストを化学線にたとえば好適なマスクを通してイメージに関して露光した後、フォトレジストを現像することによって行う。フォトレジストは、可視域、紫外域、または赤外域のスペクトルの光などの化学線にマスクを通してイメージに関して露光するか、または電子ビーム、イオンもしくはニュートロンビーム、またはX線放射によってイメージに関して走査してもよい。化学線は、非コヒーレント光の形態であってもコヒーレント光の形態であってもよく、たとえばレーザからの光である。次にフォトレジストを、アルカリ水溶液などの好適な溶媒を用いてイメージに関して現像する。随意に、フォトレジストを加熱してその画像部分を硬化させた後、現像して非画像部分を除去してバイアマスクを規定する。
【0035】
バイアは、孔および開口部を含む、当該技術分野で知られた用語である。バイアに金属または他の導電性材料を充填して、他の金属または導電性接点との電気接続部を形成してもよい。本発明では少なくとも1つのバイアに言及しているが、本発明を実施する際には複数のバイアを形成することが好ましい。これらのバイアは好ましくは、下方に延びてその下の金属接点に至る。
【0036】
トレンチは、バイア間のトンネル状の接続部を含む、当該技術分野で知られている用語である。バイアと同様に、トレンチにも金属または他の導電性材料を充填して、他の金属または導電性接点との電気接続部を形成してもよい。本発明では少なくとも1つのトレンチに言及しているが、本発明を実施する際には複数のトレンチを形成することが好ましい。これらのトレンチは好ましくは、2つ以上のバイアを接続する。
【0037】
保護材料は、フォトレジストと誘電体との間の接触を防止する役目を果たすことによって、レジスト毒作用を防止する。保護材料は、前述したように誘電体材料の表面へ被膜させてもよいし、後述するように表面変更によって誘電体材料の表面へ形成してもよい。
【0038】
被膜保護材料は、CVD、PVD、スピンコーティングなどの従来方法によって被膜させてもよい。好適な被膜保護材料には、他を排除することなく以下のものが含まれる。CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオン溶液たとえば有機ポリマー、SOG、発色団を含むSOGたとえば米国特許出願第09/330,248号(1999年6月10日に出願)に記載されたもの(この文献は本明細書において参照により取り入れられている)、反射防止コーティング(ARC)材料たとえば米国特許第6,033,830号に記載されたもの、および底部反射防止コーティング材料(BARC)たとえば酸窒化ケイ素および米国特許出願第6,121,123号に記載された材料、水素シルセスキオキサンおよびメチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは被膜保護材料は、SOGおよび発色団を含むSOGを含んでいてもよい。本発明を実施する際の最も好ましい被膜保護材料は、発色団を含むSOGである。
【0039】
バイアおよびトレンチの側壁および底面上のバリア金属は、その後に誘電体層内に被膜される導電性材料の拡散を防止する役目を果たす。好適なバリア金属には、他を排除することなく、Ti、Ta、または窒化物たとえばTaNもしくはTiNが含まれる。バリア金属は、蒸着、スパッタリング、蒸発などの従来技術によって塗布してもよい。バリア金属の厚みは、所望する被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約25Åから約1000Å、より好ましくは約50Åから約500Å、最も好ましくは約100Åから約300Åの範囲である。
【0040】
好適な充填金属には、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステン、チタン、もしくは他の金属、またはこれらの組合せ(マイクロエレクトロニクスデバイスの製造において通常用いられる通り)が含まれる。銅が最も好ましい。また充填金属を、蒸着、スパッタリング、蒸発、電気メッキ、無電界メッキなどの技術によって塗布してもよい。本明細書で用いる場合、用語「金属」には金属のアマルガムが含まれる。
【0041】
本発明の第2の実施形態においては、図2(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図2(b)に示すように、フォトレジスト材料層を第2の誘電体層上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するバイアの外形を描く。また図2(b)では、第2の誘電体層と任意のエッチストップ層とから、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成することも示している。次に図2(c)に示すようにフォトレジスト層の残りを除去して、図2(d)に示すように保護材料を第2の誘電体層の上面上とバイアの内壁および底面上とに被膜させる。図2(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図2(f)に示すように、保護材料および第2の誘電体層のそれぞれから、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図2(f)に示すように、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図2(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。図2(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0042】
本発明の第3の実施形態においては、図3(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図3(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図3(b)に示したように、フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図3(c)に示すように、フォトレジスト層の残りを除去し、図3(d)に示すように、第2の誘電体層の上面上とトレンチの内壁および底面上とに保護材料を被膜させる。図3(e)に示すように、さらなるフォトレジスト層を保護材料上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図3(f)に示すように、それぞれの層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、保護材料、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図3(g)に示すように、さらなるフォトレジスト層の残りを除去し、保護材料の残りを除去する。図3(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0043】
本発明の第4の実施形態においては、図4(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図4(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。また図4(b)に示すように、それぞれの層から、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図4(c)に示すように、フォトレジスト層の残りを除去する。図4(d)に示すように、第2の誘電体層の上面とバイアの内壁および底面の表面とを変更して、それらの上に保護材料を形成する。変更領域の深さは、約5Åから約1000Åの間で変化してもよい。図4(e)に示すように、さらなるフォトレジスト層をこれらの変更表面の保護材料上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図4(f)に示すように、第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁から、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図4(g)に示すように、さらなるフォトレジスト層の残りを除去する。図4(h)に示したように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。保護材料の形成は、バイア、トレンチ、および誘電体層の壁および底面の表面変更によって、たとえばCVDプラズマへの露光、ウェットケミカル露光、制御された周囲中でのアニーリング、UV露光、およびEビーム露光によって、行ってもよい。好適な表面変更CVDプラズマには、N2/H2、H2、NH3、H2O、N2、O2、Ar、Xeが含まれる。
【0044】
本発明の第5の実施形態においては、図5(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図5(b)に示すように、フォトレジスト材料層を第2の誘電体層上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層および任意のエッチストップ層に対するバイアの外形を描く。また図5(b)に示すように、第2の誘電体層と任意のエッチストップ層とから、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成する。次に図5(c)に示すように、フォトレジスト層の残りを除去する。図5(d)に示すように、第2の誘電体層の上面とトレンチの内壁および底面とを変更して、それらの上に保護材料を形成する。図5(e)に示すように、さらなるフォトレジスト層を変更表面の保護材料上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図5(f)に示すように、第2の誘電体層の上面上の保護材料、第2の誘電体層、および第2の誘電体層内のバイアの壁上の保護材料の、さらなるフォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図5(f)に示すように、第2の誘電体層内にあったバイアの底面上の保護材料の部分と、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図5(g)に示すように、さらなるフォトレジスト層の残りを除去する。図5(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0045】
本発明の第6の実施形態においては、図6(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図6(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。また図6(b)に示すように、それぞれの層から、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図6(c)に示すようにフォトレジスト層の残りを除去し、図6(d)に示すように、バリア金属を、第2の誘電体層の上面上とバイアの内壁および底面の表面上とに体積させて、これらの表面上にバリア金属層を形成する。図6(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上と第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫くバイアの壁および底面上とのバリア材料層の上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図6(f)に示すように、第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図6(g)に示すように、さらなるフォトレジスト層の残りを除去する。図6(h)に示したように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0046】
バリア層材料は、フォトレジストと誘電体との間にバリアを形成することによって、レジスト毒作用を防ぐ役目を果たす。好適なバリア層材料には、他を排除することなく以下のものが含まれる。CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオン溶液たとえば有機ポリマー、SOG、発色団を含むSOG(1999年6月10日出願の米国特許出願第09/330,248号に記載された通り)、水素シルセスキオキサン、メチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは、バリア層材料はCVDSiO2、SiN、またはSiCである。本発明を実施する際の最も好ましいバリア層材料は、SiO2である。
【0047】
バリア層材料は、CVD、蒸発、スピンコーティング、スパッタリング、および原子層エピタキシなどの従来技術によって塗布してもよい。保護材料の厚みは、所望する被膜方法に応じて変化してもよい。
【0048】
本発明の第7の実施形態においては、図7(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図7(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層および任意のエッチストップ層に対するバイアの外形を描く。また図7(b)に示すように、第2の誘電体層および任意のエッチストップ層の、フォトレジストの除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成する。次に図7(c)に示すようにフォトレジスト層の残りを除去し、図7(d)に示すようにバリア材料を、第2の誘電体層の上面上と、第2の誘電体層および任意のエッチストップ層を貫くバイアの内壁および底面の表面上とに被膜させて、これらの表面上にバリア材料層を形成する。図7(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上と第2の誘電体層および任意のエッチストップ層を貫くバイアの壁および底面上とのバリア材料層の上に被膜させ、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。図7(f)に示すように、第2の誘電体層の上面上のバリア材料層、第2の誘電体層、および第2の誘電体層内のバイアの壁の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。また図7(f)に示すように、第2の誘電体層内にあったバイアの底面上のバリア材料層の部分を除去し、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を下方に貫くバイアを形成する。次に図7(g)に示すように、さらなるフォトレジスト層の残りを除去する。図7(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0049】
本発明の第8の実施形態においては、図8(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図8(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図8(b)に示したように、フォトレジストの除去部分の下方にある第2の誘電体層の部分を除去して、第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成する。次に図8(c)に示すように、フォトレジスト層の残りを除去し、図8(d)に示すように、第2の誘電体層の上面とトレンチの内壁および底面の表面とを変更して、それらの上に保護材料を形成する。図8(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上とトレンチの壁および底面上との保護材料の上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図8(f)に示すように、それぞれの層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図8(g)に示すように、さらなるフォトレジスト層の残りを除去する。図8(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0050】
本発明の第9の実施形態においては、図9(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。次に図9(b)に示すように、フォトレジスト材料層を第2の誘電体層の上面上に被膜させ、フォトレジストの一部をイメージに関して除去して、第2の誘電体層に対するトレンチの外形を描く。また図9(b)に示したように、フォトレジストの除去部分の下方にある、第2の誘電体層の部分と随意にエッチストップ層の部分(もしあるのなら)とを除去して、第2の誘電体層と随意にエッチストップ層とを下方に貫く少なくとも1つのトレンチを形成する。次に図9(c)に示すようにフォトレジスト層の残りを除去し、図9(d)に示すように、バリア材料層を第2の誘電体層の上面上とトレンチの内壁および底面の表面上とに被膜させて、バリア材料層を形成する。図9(e)に示すように、さらなるフォトレジスト層を、第2の誘電体層の上面上とトレンチの壁および底面上とのバリア材料層の上に被膜させた後、さらなるフォトレジストの一部をイメージに関して除去して、第1の誘電体層に対するバイアの外形を描く。図9(f)に示すように、トレンチの底面上のバリア材料層、エッチストップ層のわずかでも残存している部分、および第1の誘電体層の部分の、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、トレンチの底面上のバリア材料層、任意のエッチストップ層、および第1の誘電体層を下方に貫く少なくとも1つのバイアを形成する。次に図9(g)に示すように、さらなるフォトレジスト層の残りを除去する。図9(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0051】
本発明の第10の実施形態においては、図10(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。また図10(a)に示すように、次に第1のハードマスク材料層を第2の誘電体層上に被膜させ、第2のハードマスク層を第1のハードマスク層上に被膜させる。次に図10(b)に示すように、フォトレジスト材料層を第2のハードマスク層の上面上に被膜させた後、フォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対するバイアの外形を描く。また図10(b)に示すように、第2のハードマスク層から、フォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫く少なくとも1つのバイアを形成する。次に図10(c)に示すように、フォトレジスト層の残りを除去する。
【0052】
図10(d)に示すように、さらなるフォトレジスト層を、第2のハードマスク層上と第2のハードマスク層内のバイアの内壁および底面上とに被膜させる。また図10(d)に示すように、さらなるフォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対する少なくとも1つのトレンチの外形を描く。図10(e)に示すように、第1のハードマスク層および第2の誘電体層から、第2のハードマスク層内のバイアの下方にある部分を除去して、第1のハードマスク層と第2の誘電体層とを下方に貫くバイアを形成する。次に図10(f)に示すように、第2のハードマスク層から、さらなるフォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫くトレンチを形成する。また図10(f)に示すように、任意のエッチストップ層の、第2の誘電体層内のバイアの下方にある部分を除去して、バイアを任意のエッチストップ層を下方に貫くように延長する。次に図10(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第1のハードマスク層と第2の誘電体層とを下方に貫くトレンチを形成する。またこの図では、次に、第2の誘電体層内にあったバイアの下方にある第1の誘電体層の部分を除去して、第1の誘電体層を貫くバイアを形成することも示されている。また図10(g)に示すように、次にさらなるフォトレジスト層の残りを除去する。またこの図では、次に、第2の誘電体層を貫いて以前に形成されたバイアの下方にある第1の誘電体層を貫くバイアを形成することも示されている。次に図10(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第2の誘電体層を貫くトレンチを形成する。図10(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0053】
本発明の第11の実施形態においては、図11(a)に示すように、第1の誘電体材料、任意のエッチストップ材料、および第2の誘電体材料を、本発明の第1の実施形態で行ったように基板上に被膜させる。また図11(a)に示すように、次に第1のハードマスク材料層を第2の誘電体層上に被膜させ、第2のハードマスク層を第1のハードマスク層上に被膜させる。次に図11(b)に示すように、フォトレジスト材料層を第2のハードマスク層の上面上に被膜させた後、フォトレジストの一部をイメージに関して除去して、第2のハードマスク層に対するトレンチの外形を描く。また図11(b)に示すように、第2のハードマスク層から、フォトレジストの除去部分の下方にある部分を除去して、第2のハードマスク層を下方に貫く少なくとも1つのトレンチを形成する。次に図11(c)に示すように、フォトレジスト層の残りを除去する。図11(d)に示すように、さらなるフォトレジスト層を、第2のハードマスク層上と第2のハードマスク層内のトレンチの内壁および底面上とに被膜させる。また図11(d)に示すように、さらなるフォトレジストの一部をイメージに関して除去して、第2の誘電体層と任意のエッチストップ層とに対する少なくとも1つのバイアの外形を描く。図11(e)に示すように、第1のハードマスク層、第2の誘電体層、および任意のエッチストップ層から、さらなるフォトレジスト層の除去部分の下方にある部分を除去して、第2の誘電体層と任意のエッチストップ層とを下方に貫くバイアを形成する。次に図11(f)に示すように、さらなるフォトレジスト層の残りを除去する。次に図11(g)に示すように、第1のハードマスク層および第2の誘電体層の、第2のハードマスク層内のトレンチの下方にある部分を除去して、第2の誘電体層を下方に貫くトレンチを形成する。また図11(g)に示すように、次に、第2の誘電体層または任意のエッチストップ層内にあったバイアに対応する第1の誘電体層の部分を除去することによって、第1の誘電体層を貫く少なくとも1つのバイアを形成する。図11(h)に示すように、バイアおよびトレンチの内壁および底面をバリア金属でライニングした後、バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填する。
【0054】
第1および第2のハードマスク層は、フォトレジストが誘電体と反応することを防ぐマスクを形成する役目を果たし、その結果レジスト毒作用が防止される。
好適なハードマスク層材料には、他を排除することなく以下のものが含まれる。CVD膜たとえばSiO2、SiN、SiON、SiC、スピンオンポリマーたとえばスピンオングラス、発色団を含むSOG、有機スピンオンポリマー、水素シルセスキオキサン、メチルシルセスキオキサン、ならびに金属たとえばTaおよびTaN。好ましくは、ハードマスク層材料はSiO2、SiON、SiN、SiCである。最も好ましくは、第1のハードマスク層材料にはSiO2が含まれ、第2のハードマスク層にはSi3N4が含まれる。本出願では第1および第2のハードマスク層にのみ言及しているが、本発明を実施する際には複数のハードマスク層を用いることができる。ハードマスク層は、CVD、スピンオン、蒸発、スパッタリング、原子層エピタキシなどの従来技術によって塗布してもよい。ハードマスク層の厚みは、同じであっても違っていてもよく、また被膜方法およびパラメータ設定に応じて変化してもよい。厚みは好ましくは、約100Åから約5000Å、より好ましくは約200Åから約3000Å、最も好ましくは約400Åから約1500Åの範囲である。
【0055】
本発明の図においては、1つの相互接続レベルを形成するためのプロセスが示されているが、より高いレベルの相互接続を得るために同じ処理ステップを繰り返すことができる。
【0056】
本発明を、好ましい実施形態に関して特に図示し説明してきたが、当業者であれば、本発明の趣旨および範囲から逸脱することなく種々の変形および変更ができることを容易に理解するであろう。特許請求の範囲には、開示した実施形態、それらの前述の代替方法、およびそれらに対する全ての均等物が含まれると解釈すべきであることが意図されている。
【図面の簡単な説明】
【0057】
【図1.1】図1a−図1dは、被膜された保護材料とともに深いバイア第1技術を示す、本発明の第1の実施形態を示す図である。
【図1.2】図1e−図1hは、被膜された保護材料とともに深いバイア第1技術を示す、本発明の第1の実施形態を示す図である。
【図2.1】図2a−図2dは、被膜された保護材料とともに浅いバイア第1技術を示す、本発明の第2の実施形態を示す図である。
【図2.2】図2e−図2hは、被膜された保護材料とともに浅いバイア第1技術を示す、本発明の第2の実施形態を示す図である。
【図3.1】図3a−図3dは、被膜された保護材料とともにトレンチ第1技術を示す、本発明の第3の実施形態を示す図である。
【図3.2】図3e−図3hは、被膜された保護材料とともにトレンチ第1技術を示す、本発明の第3の実施形態を示す図である。
【図4.1】図4a−図4dは、表面変更された保護材料の形成とともに深いバイア第1技術を示す、本発明の第4の実施形態を示す図である。
【図4.2】図4e−図4hは、表面変更された保護材料の形成とともに深いバイア第1技術を示す、本発明の第4の実施形態を示す図である。
【図5.1】図5a−図5dは、表面変更された保護材料の形成とともに浅いバイア第1技術を示す、本発明の第5の実施形態を示す図である。
【図5.2】図5e−図5hは、表面変更された保護材料の形成とともに浅いバイア第1技術を示す、本発明の第5の実施形態を示す図である。
【図6.1】図6a−図6dは、バリア材料層とともに深いバイア第1技術を示す、本発明の第6の実施形態を示す図である。
【図6.2】図6e−図6hは、バリア材料層とともに深いバイア第1技術を示す、本発明の第6の実施形態を示す図である。
【図7.1】図7a−図7dは、バリア材料層とともに浅いバイア第1技術を示す、本発明の第7の実施形態を示す図である。
【図7.2】図7e−図7hは、バリア材料層とともに浅いバイア第1技術を示す、本発明の第7の実施形態を示す図である。
【図8.1】図8a−図8dは、表面変更された保護材料の形成とともにトレンチ第1技術を示す、本発明の第8の実施形態を示す図である。
【図8.2】図8e−図8hは、表面変更された保護材料の形成とともにトレンチ第1技術を示す、本発明の第8の実施形態を示す図である。
【図9.1】図9a−図9dは、バリア材料層とともにトレンチ第1技術を示す、本発明の第9の実施形態を示す図である。
【図9.2】図9e−図9hは、バリア材料層とともにトレンチ第1技術を示す、本発明の第9の実施形態を示す図である。
【図10.1】図10a−図10dは、第1および第2のハードマスク層とともにバイア第1技術を示す、本発明の第10の実施形態を示す図である。
【図10.2】図10e−図10hは、第1および第2のハードマスク層とともにバイア第1技術を示す、本発明の第10の実施形態を示す図である。
【図11.1】図11a−図11dは、第1および第2のハードマスク層とともにトレンチ第1技術を示す、本発明の第11の実施形態を示す図である。
【図11.2】図11e−図11hは、第1および第2のハードマスク層とともにトレンチ第1技術を示す、本発明の第11の実施形態を示す図である。
Claims (26)
- (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面上と前記バイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)前記保護材料上にさらなるフォトレジスト層を被膜させて、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成するステップと、
(i)さらなる前記フォトレジスト層の残りと前記保護材料の残りとを除去するステップと、
(j)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - 前記第1の誘電体層は有機誘電体材料を含み、前記第2の誘電体層は無機誘電体材料を含む、請求項1に記載の方法。
- 前記第1の誘電体層は無機誘電体材料を含み、前記第2の誘電体層は有機誘電体材料を含む、請求項1に記載の方法。
- 前記第1の誘電体層は無機誘電体材料を含み、前記第2の誘電体層は無機誘電体材料を含む、請求項1に記載の方法。
- 前記第1の誘電体層は有機誘電体材料を含み、前記第2の誘電体層は有機誘電体材料を含む、請求項1に記載の方法。
- 前記エッチストップ層が存在する、請求項1に記載の方法。
- 前記エッチストップ層は、窒化ケイ素、酸窒化ケイ素、二酸化ケイ素、炭化ケイ素、酸炭化ケイ素、スピンオングラス、有機ポリマー、水素シルセスキオキサン、メチルシルセスキオキサン、またはこれらの組合せを含む、請求項6に記載の方法。
- 前記任意のエッチストップ層が存在せず、前記第1の誘電体層と前記第2の誘電体層とが著しく異なるエッチ耐性特性を有する、請求項1に記載の方法。
- 前記任意のエッチストップ層が存在せず、前記第1の誘電体層と前記第2の誘電体層とが実質的に同じエッチ耐性特性を有する、請求項1に記載の方法。
- 前記保護材料が、CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオングラス、有機ポリマー、発色団を含むスピンオングラス、反射防止コーティング材料、底部反射防止コーティング材料、酸窒化ケイ素、水素シルセスキオキサン、メチルシルセスキオキサン、金属、およびこれらの組合せを含む、請求項1に記載の方法。
- 前記バリア金属は、Ti、Ta、または窒化物を含む、請求項1に記載の方法。
- 前記充填金属は、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステン、チタン、これらの窒化物、またはこれらの組合せを含む、請求項1に記載の方法。
- (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)前記第2の誘電体層と前記任意のエッチストップ層との、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2の誘電体層と任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面上と前記バイアの内壁および底面上とに保護材料を被膜させるステップと、
(g)前記保護材料上にさらなるフォトレジスト層を被膜させ、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記保護材料および前記第2の誘電体層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、前記第2の誘電体層内にあった前記バイアの下方にある前記第1の誘電体層の部分を除去することによって、前記第1の誘電体層を下方に貫くバイアを形成するステップと、
(i)さらなる前記フォトレジスト層の残りを除去し、前記保護材料の残りを除去するステップと、
(j)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)前記バリア金属ライニングに接触する充填金属をトレンチおよび前記バイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(e)前記フォトレジストの除去部分の下方にある前記第2の誘電体層の部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面上と前記トレンチの内壁および底面上とに保護材料を被膜させるステップと、
(g)前記保護材料上にさらなるフォトレジスト層を被膜させて、前記第1の誘電体層に対する少なくとも1つのバイアに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記保護材料、前記任意のエッチストップ層、および前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)前記さらなるフォトレジスト層の残りを除去し、前記保護材料の残りを除去するステップと、
(j)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、前記フォトレジストの除去部分の下方にある部分を除去することによって、第2の誘電体層、任意のエッチストップ層、および第1の誘電体層を貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面と、前記第2の誘電体層、前記任意のエッチストップ層、および前記第1の誘電体層を貫くバイアの内壁の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)前記第2の誘電体層の上面上の前記保護材料上と、前記第2の誘電体層、前記任意のエッチストップ層、および前記第1の誘電体層を貫くバイアの壁および底面上の前記保護材料上とに、さらなるフォトレジスト層を被膜させ、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記第2の誘電体層の上面上の前記保護材料、前記第2の誘電体層、および前記第2の誘電体層内の前記バイアの壁の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(i)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - 前記第2の誘電体層の上面とバイアの内壁の表面とを、CVDプラズマへの露光、ウェットケミカル露光、アニーリング、UV露光、電子ビーム露光、およびこれらの組合せによって変更する、請求項15に記載の方法。
- (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層と前記任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)前記第2の誘電体層と前記任意のエッチストップ層との、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2の誘電体層と前記任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面と、前記第2の誘電体層および前記任意のエッチストップ層を貫くバイアの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)前記第2の誘電体層の上面上の前記保護材料上と、前記第2の誘電体層および前記任意のエッチストップ層を貫くバイアの壁および底面上の前記保護材料上とに、さらなるフォトレジスト層を被膜させ、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記第2の誘電体層の上面上の前記保護材料、前記第2の誘電体層、および前記第2の誘電体層内のバイアの壁上の前記保護材料の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、前記第2の誘電体層内にあったバイアの底面上の前記保護材料の部分と前記第2の誘電体層内にあった前記バイアの下方にある前記第1の誘電体層の部分とを除去することによって、前記第1の誘電体層を貫く少なくとも1つのバイアを形成するステップと、
(i)さらなる前記フォトレジスト層の残りを除去するステップと、
(j)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)前記バリア金属ライニングに接触する充填金属を前記トレンチおよび前記バイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第1の誘電体層に対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)それぞれの層の、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2の誘電体層、前記任意のエッチストップ層、および前記第1の誘電体層を貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面上と、前記第2の誘電体層、前記任意のエッチストップおよび前記第1の誘電体層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)前記第2の誘電体層の上面上と、前記第2の誘電体層、前記任意のエッチストップ層、および前記第1の誘電体層を貫くバイアの壁および底面上との前記バリア材料層上に、さらなるフォトレジスト層を被膜させ、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記第2の誘電体層の上面上の前記バリア材料層、前記第2の誘電体層、および前記第2の誘電体層内のバイアの壁上の前記バリア材料層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(i)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - 前記バリア層材料は、CVD酸化物、CVD窒化物、CVD酸窒化物、CVDSiC、スピンオングラス、有機ポリマー、発色団を含むスピンオングラス、水素シルセスキオキサン、メチルシルセスキオキサン、金属、およびこれらの組合せを含む、請求項18に記載の方法。
- (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に前記第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層と前記任意のエッチストップ層とに対する少なくとも1つのバイアに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)前記第2の誘電体層と任意のエッチストップ層との、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2の誘電体層と前記任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面上と、前記第2の誘電体層および前記任意のエッチストップ層を貫くバイアの内壁および底面の表面上とに、バリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)前記第2の誘電体層の上面上と前記第2の誘電体層および前記任意のエッチストップ層を貫くバイアの壁および底面上との前記バリア材料層上に、さらなるフォトレジスト層を被膜させ、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記第2の誘電体層の上面上の前記バリア材料層、前記第2の誘電体層、および前記第2の誘電体層内のバイアの壁上の前記バリア材料層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、前記第2の誘電体層内にあった前記バイアの底面からの前記バリア材料層の部分と、前記第2の誘電体層内にあった前記バイアの下方にある前記第1の誘電体層の部分とを除去することによって、前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(i)さらなる前記フォトレジスト層の残りを除去するステップと、
(j)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(k)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(e)前記フォトレジストの除去部分の下方にある前記第2の誘電体層の部分を除去することによって、前記第2の誘電体層を貫く少なくとも1つのトレンチを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面と、前記トレンチの内壁および底面の表面とを変更し、それらの上に保護材料を形成するステップと、
(g)前記第2の誘電体層の上面上の前記保護材料上と、前記トレンチの壁および底面上の前記保護材料上とに、さらなるフォトレジスト層を被膜させ、前記第1の誘電体層に対する少なくとも1つのバイアに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)それぞれの層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(i)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層の上面上にフォトレジスト層を被膜させて、前記第2の誘電体層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(e)前記フォトレジストの除去部分の下方にある、前記第2の誘電体層の部分を除去し、もしあるのならエッチストップ層を随意に除去することによって、前記第2の誘電体層を貫きかつ任意に前記エッチストップ層を貫く少なくとも1つのトレンチを形成し、前記フォトレジスト層の残りを除去するステップと、
(f)前記第2の誘電体層の上面と、前記トレンチの内壁および底面の表面とにバリア材料を被膜させることによって、それらの上にバリア材料層を形成するステップと、
(g)前記第2の誘電体層の上面上と前記トレンチの内壁および底面上との前記バリア材料層上に、さらなるフォトレジスト層を被膜させ、前記第1の誘電体層に対する少なくとも1つのバイアに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(h)前記トレンチの底面上の前記バリア材料層、前記エッチストップ層のわずかでも残存している部分、および前記第1の誘電体層の部分のそれぞれの、さらなるフォトレジスト層の除去部分の下方にある部分を除去することによって、前記トレンチの底面上のバリア材料層、前記任意のエッチストップ層、および前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(i)前記トレンチの内壁および底面上の前記バリア材料層上と、バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(j)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)前記第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)前記第2のハードマスク層の上面にフォトレジスト層を被膜させて、前記第2のハードマスク層に対する少なくとも1つのバイアに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(g)前記第2のハードマスク層の、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2のハードマスク層を貫く少なくとも1つのバイアを形成し、前記フォトレジスト層の残りを除去するステップと、
(h)前記第2のハードマスク層の上面上と、前記第2のハードマスク内のバイアの内壁および底面上とにさらなるフォトレジスト層を被膜させ、前記第2のハードマスク層に対する少なくとも1つのトレンチに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(i)前記第1のハードマスク層と前記第2の誘電体層との、前記第2のハードマスク層内のバイアの下方にある部分を除去することによって、前記第1のハードマスク層と前記第2の誘電体層とを下方に貫く少なくとも1つのバイアを形成するステップと、
(j)前記第2のハードマスク層の、さらなるフォトレジストの除去部分の下方にある部分を除去することによって、前記第2のハードマスク層を下方に貫く少なくとも1つのトレンチを形成し、前記任意のエッチストップ層の、前記第2の誘電体層内のバイアの下方にある部分を除去することによって、前記バイアを前記任意のエッチストップ層を下方に貫くように延長するステップと、
(k)前記第1のハードマスク層と前記第2の誘電体層との、前記第2のハードマスク層内のトレンチの下方にある部分を除去することによって、前記第1のハードマスク層と前記第2の誘電体層とを下方に貫く少なくとも1つのトレンチを形成し、前記第2の誘電体層内にあった前記バイアの下方にある前記第1の誘電体層の部分を除去することによって、前記第1の誘電体層を貫くバイアを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(l)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(m)前記バリア金属ライニングに接触する充填金属をトレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - 前記第1のハードマスク層と前記第2のハードマスク層とは、SiO2、Si3N4、SiOC、SiC、SiN、SiON、スピンオングラス、発色団を含むスピンオングラス、有機スピンオンポリマー、水素シルセスキオキサン、メチルシルセスキオキサン、金属、およびこれらの組合せからなる群から選択された異なる材料を含む、請求項23に記載の方法。
- (a)基板上に第1の誘電体層を形成するステップと、
(b)前記第1の誘電体層上に任意のエッチストップ層を形成するステップと、
(c)前記第1の誘電体層または前記任意のエッチストップ層上に第2の誘電体層を形成するステップと、
(d)前記第2の誘電体層上に第1のハードマスク層を形成するステップと、
(e)前記第1のハードマスク層上に第2のハードマスク層を形成するステップと、
(f)前記第2のハードマスク層の上面にフォトレジスト層を被膜させて、前記第2のハードマスク層に対する少なくとも1つのトレンチに対応するフォトレジストの一部をイメージに関して除去するステップと、
(g)前記第2のハードマスク層の、前記フォトレジストの除去部分の下方にある部分を除去することによって、前記第2のハードマスク層を貫く少なくとも1つのトレンチを形成し、前記フォトレジスト層の残りを除去するステップと、
(h)前記第2のハードマスク層の上面上と前記トレンチの内壁および底面上とにさらなるフォトレジスト層を被膜させ、前記第2の誘電体層と前記任意のエッチストップ層とに対する少なくとも1つのバイアに対応する前記フォトレジストの一部をイメージに関して除去するステップと、
(i)前記第1のハードマスク層、前記第2の誘電体層、および前記任意のエッチストップ層の、さらなる前記フォトレジスト層の除去部分の下方にある部分を除去することによって、前記第2の誘電体層と前記任意のエッチストップ層とを下方に貫く少なくとも1つのバイアを形成し、さらなる前記フォトレジスト層の残りを除去するステップと、
(j)前記第1のハードマスク層と前記第2の誘電体層との、前記第2のハードマスク層内のトレンチの下方にある部分を除去することによって、前記第2の誘電体層を下方に貫く少なくとも1つのトレンチを形成し、前記第2の誘電体層または前記任意のエッチストップ層内のバイアに対応する前記第1の誘電体層の部分を除去することによって、前記第1の誘電体層を下方に貫く少なくとも1つのバイアを形成するステップと、
(k)前記トレンチの内壁および底面上と、前記バイアの内壁および底面上とに、バリア金属をライニングするステップと、
(l)前記バリア金属ライニングに接触する充填金属を前記トレンチおよびバイアに充填するステップと、
を含むマイクロエレクトロニクスデバイスを製造する方法。 - 前記第1のハードマスク層と前記第2のハードマスク層とは、SiO2、Si3N4、SiOC、SiC、SiN、SiON、スピンオングラス、発色団を含むスピンオングラス、有機スピンオンポリマー、水素シルセスキオキサン、メチルシルセスキオキサン、金属、およびこれらの組合せからなる群から選択された異なる材料を含む、請求項25に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/748,692 US6583047B2 (en) | 2000-12-26 | 2000-12-26 | Method for eliminating reaction between photoresist and OSG |
PCT/US2001/050233 WO2002052642A2 (en) | 2000-12-26 | 2001-12-20 | Method for eliminating reaction between photoresist and organosilicate glass |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2004530287A true JP2004530287A (ja) | 2004-09-30 |
Family
ID=25010517
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002553242A Pending JP2004530287A (ja) | 2000-12-26 | 2001-12-20 | フォトレジストとosgの間の反応を除く方法 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6583047B2 (ja) |
EP (1) | EP1346407A2 (ja) |
JP (1) | JP2004530287A (ja) |
KR (1) | KR20030063478A (ja) |
CN (1) | CN1493087A (ja) |
CA (1) | CA2433153A1 (ja) |
WO (1) | WO2002052642A2 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008096752A1 (ja) * | 2007-02-09 | 2008-08-14 | Tokyo Electron Limited | エッチング方法および記憶媒体 |
JP2008218959A (ja) * | 2007-02-09 | 2008-09-18 | Tokyo Electron Ltd | エッチング方法および記憶媒体 |
CN102122634A (zh) * | 2010-01-08 | 2011-07-13 | 中芯国际集成电路制造(上海)有限公司 | 通孔及金属线沟槽的刻蚀方法 |
US8222160B2 (en) | 2010-11-30 | 2012-07-17 | Kabushiki Kaisha Toshiba | Metal containing sacrifice material and method of damascene wiring formation |
Families Citing this family (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US7804115B2 (en) * | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6268282B1 (en) | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6828683B2 (en) * | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US6440860B1 (en) * | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
US6916745B2 (en) | 2003-05-20 | 2005-07-12 | Fairchild Semiconductor Corporation | Structure and method for forming a trench MOSFET having self-aligned features |
US6815331B2 (en) * | 2001-05-17 | 2004-11-09 | Samsung Electronics Co., Ltd. | Method for forming metal wiring layer of semiconductor device |
US6861347B2 (en) * | 2001-05-17 | 2005-03-01 | Samsung Electronics Co., Ltd. | Method for forming metal wiring layer of semiconductor device |
TW544855B (en) * | 2001-06-25 | 2003-08-01 | Nec Electronics Corp | Dual damascene circuit with upper wiring and interconnect line positioned in regions formed as two layers including organic polymer layer and low-permittivity layer |
US6798043B2 (en) | 2001-06-28 | 2004-09-28 | Agere Systems, Inc. | Structure and method for isolating porous low-k dielectric films |
JP4778660B2 (ja) * | 2001-11-27 | 2011-09-21 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP3648480B2 (ja) * | 2001-12-26 | 2005-05-18 | 株式会社東芝 | 半導体装置およびその製造方法 |
US7022619B2 (en) * | 2002-03-27 | 2006-04-04 | Matsushita Electric Industrial Co., Ltd. | Method for fabricating electronic device |
US7157366B2 (en) * | 2002-04-02 | 2007-01-02 | Samsung Electronics Co., Ltd. | Method of forming metal interconnection layer of semiconductor device |
US7125645B2 (en) * | 2002-04-10 | 2006-10-24 | United Microelectronics Corp. | Composite photoresist for pattern transferring |
US7265431B2 (en) * | 2002-05-17 | 2007-09-04 | Intel Corporation | Imageable bottom anti-reflective coating for high resolution lithography |
JP4076131B2 (ja) * | 2002-06-07 | 2008-04-16 | 富士通株式会社 | 半導体装置の製造方法 |
JP2004014841A (ja) * | 2002-06-07 | 2004-01-15 | Fujitsu Ltd | 半導体装置及びその製造方法 |
US20040079726A1 (en) * | 2002-07-03 | 2004-04-29 | Advanced Micro Devices, Inc. | Method of using an amorphous carbon layer for improved reticle fabrication |
EP1385201B1 (en) * | 2002-07-24 | 2012-09-05 | Samsung Electronics Co., Ltd. | Method of fabricating dual damascene interconnections of microelectronic device |
US20040038537A1 (en) * | 2002-08-20 | 2004-02-26 | Wei Liu | Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm |
JP2004079901A (ja) | 2002-08-21 | 2004-03-11 | Nec Electronics Corp | 半導体装置及びその製造方法 |
US6878620B2 (en) * | 2002-11-12 | 2005-04-12 | Applied Materials, Inc. | Side wall passivation films for damascene cu/low k electronic devices |
US7119006B2 (en) * | 2002-11-26 | 2006-10-10 | Texas Instruments Incorporated | Via formation for damascene metal conductors in an integrated circuit |
US7153776B2 (en) * | 2002-11-27 | 2006-12-26 | International Business Machines Corporation | Method for reducing amine based contaminants |
DE10260615B4 (de) * | 2002-12-23 | 2009-01-29 | Advanced Micro Devices, Inc., Sunnyvale | Technik zum Verringern der Lackvergiftung bei der Herstellung einer Metallisierungsschicht mit einem Dielektrikum mit kleinem ε |
JP2004235548A (ja) * | 2003-01-31 | 2004-08-19 | Nec Electronics Corp | 半導体装置およびその製造方法 |
KR100487948B1 (ko) * | 2003-03-06 | 2005-05-06 | 삼성전자주식회사 | 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법 |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
TW200428586A (en) * | 2003-04-08 | 2004-12-16 | Matsushita Electric Ind Co Ltd | Electronic device and the manufacturing method thereof |
US20040253378A1 (en) * | 2003-06-12 | 2004-12-16 | Applied Materials, Inc. | Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes |
US7030031B2 (en) * | 2003-06-24 | 2006-04-18 | International Business Machines Corporation | Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material |
US20050023631A1 (en) * | 2003-07-31 | 2005-02-03 | Varghese Ronnie P. | Controlled dry etch of a film |
US20050064629A1 (en) * | 2003-09-22 | 2005-03-24 | Chen-Hua Yu | Tungsten-copper interconnect and method for fabricating the same |
JP5348843B2 (ja) * | 2003-10-07 | 2013-11-20 | ハネウエル・インターナシヨナル・インコーポレーテツド | 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用 |
GB0330010D0 (en) * | 2003-12-24 | 2004-01-28 | Cavendish Kinetics Ltd | Method for containing a device and a corresponding device |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7078350B2 (en) * | 2004-03-19 | 2006-07-18 | Lam Research Corporation | Methods for the optimization of substrate etching in a plasma processing system |
US7094661B2 (en) * | 2004-03-31 | 2006-08-22 | Dielectric Systems, Inc. | Single and dual damascene techniques utilizing composite polymer dielectric film |
US7547643B2 (en) | 2004-03-31 | 2009-06-16 | Applied Materials, Inc. | Techniques promoting adhesion of porous low K film to underlying barrier layer |
US7309395B2 (en) * | 2004-03-31 | 2007-12-18 | Dielectric Systems, Inc. | System for forming composite polymer dielectric film |
US7557035B1 (en) | 2004-04-06 | 2009-07-07 | Advanced Micro Devices, Inc. | Method of forming semiconductor devices by microwave curing of low-k dielectric films |
US20060024958A1 (en) * | 2004-07-29 | 2006-02-02 | Abbas Ali | HSQ/SOG dry strip process |
US7598176B2 (en) * | 2004-09-23 | 2009-10-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | Method for photoresist stripping and treatment of low-k dielectric material |
JP4619747B2 (ja) * | 2004-11-01 | 2011-01-26 | 株式会社東芝 | 半導体装置の製造方法 |
US20060128163A1 (en) * | 2004-12-14 | 2006-06-15 | International Business Machines Corporation | Surface treatment of post-rie-damaged p-osg and other damaged materials |
US20060148243A1 (en) * | 2004-12-30 | 2006-07-06 | Jeng-Ho Wang | Method for fabricating a dual damascene and polymer removal |
DE102005024912A1 (de) * | 2005-05-31 | 2006-12-07 | Advanced Micro Devices, Inc., Sunnyvale | Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht |
WO2006130250A1 (en) * | 2005-05-31 | 2006-12-07 | Advanced Micro Devices, Inc. | Technique for forming copper-containing lines embedded in a low-k dielectric by providing a stiffening layer |
US20060275547A1 (en) * | 2005-06-01 | 2006-12-07 | Lee Chung J | Vapor Phase Deposition System and Method |
US7435676B2 (en) * | 2006-01-10 | 2008-10-14 | International Business Machines Corporation | Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity |
KR100732773B1 (ko) * | 2006-06-29 | 2007-06-27 | 주식회사 하이닉스반도체 | 절연층들간의 들뜸을 방지한 반도체 소자 제조 방법 |
DE102006046381B4 (de) * | 2006-09-29 | 2009-08-27 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Verringerung der "Lackvergiftung" während der Strukturierung verspannter stickstoffenthaltender Schichten in einem Halbleiterbauelement |
US20080090402A1 (en) * | 2006-09-29 | 2008-04-17 | Griselda Bonilla | Densifying surface of porous dielectric layer using gas cluster ion beam |
KR100802226B1 (ko) * | 2006-12-21 | 2008-02-11 | 주식회사 하이닉스반도체 | 듀얼 다마신 패턴 형성 방법 |
KR100780606B1 (ko) * | 2006-12-27 | 2007-11-30 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
US7833692B2 (en) * | 2007-03-12 | 2010-11-16 | Brewer Science Inc. | Amine-arresting additives for materials used in photolithographic processes |
DE102007025342B4 (de) * | 2007-05-31 | 2011-07-28 | Globalfoundries Inc. | Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht |
US8017517B2 (en) * | 2007-06-07 | 2011-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene process |
US7833893B2 (en) * | 2007-07-10 | 2010-11-16 | International Business Machines Corporation | Method for forming conductive structures |
DE102007041220B3 (de) * | 2007-08-31 | 2009-01-08 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Herstellen von Halbleiterbauelementen mit Feldeffekttransistoren, die seitlich von einem dielektrischen Zwischenschichtmaterial mit einer erhöhten kompressiven Verspannung umschlossen sind |
KR100935196B1 (ko) * | 2008-01-18 | 2010-01-06 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성방법 |
TW201001624A (en) * | 2008-01-24 | 2010-01-01 | Soligie Inc | Silicon thin film transistors, systems, and methods of making same |
CN102132386B (zh) * | 2008-09-25 | 2013-04-03 | 积水化学工业株式会社 | 含硅膜的蚀刻方法以及装置 |
CN101996934B (zh) * | 2009-08-20 | 2012-07-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的制作方法 |
CN102044471B (zh) * | 2009-10-09 | 2015-04-29 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其形成方法 |
JP2010153894A (ja) * | 2010-02-19 | 2010-07-08 | Tokyo Electron Ltd | 半導体装置の製造方法 |
CN102420169A (zh) * | 2011-05-13 | 2012-04-18 | 上海华力微电子有限公司 | 通孔填充牺牲材料的超厚顶层金属双大马士革工艺 |
CN102420170A (zh) * | 2011-05-13 | 2012-04-18 | 上海华力微电子有限公司 | 用于超厚顶层金属的先沟槽金属硬掩模双大马士革工艺 |
CN102856248A (zh) * | 2011-07-01 | 2013-01-02 | 中芯国际集成电路制造(上海)有限公司 | 双镶嵌结构的形成方法 |
CN102446822A (zh) * | 2011-09-08 | 2012-05-09 | 上海华力微电子有限公司 | 一种双大马士革的集成方法 |
CN103186033B (zh) * | 2011-12-31 | 2016-10-05 | 中芯国际集成电路制造(上海)有限公司 | 光学邻近修正方法、连接孔的制作方法 |
CN102569176A (zh) * | 2012-01-18 | 2012-07-11 | 上海华力微电子有限公司 | 制备双大马士革结构的方法 |
CN103489822B (zh) * | 2012-06-11 | 2016-12-14 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
CN102800626A (zh) * | 2012-08-16 | 2012-11-28 | 上海华力微电子有限公司 | 双大马士革结构介电质膜刻蚀成型工艺的方法 |
CN102915959B (zh) * | 2012-10-08 | 2015-06-17 | 上海华力微电子有限公司 | 一种简化存储器中字线介电质膜刻蚀成型工艺的方法 |
CN102881649B (zh) * | 2012-10-22 | 2017-11-07 | 上海集成电路研发中心有限公司 | 一种大马士革结构的制作方法 |
CN104183540B (zh) * | 2013-05-21 | 2019-12-31 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
CN104241114B (zh) * | 2013-06-09 | 2017-11-10 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
CN105593948B (zh) * | 2013-10-07 | 2019-07-19 | Abb瑞士股份有限公司 | 产生、分配和/或使用电能的装置及用于这种装置的构件 |
US10269622B2 (en) | 2014-12-24 | 2019-04-23 | Intel Corporation | Materials and deposition schemes using photoactive materials for interface chemical control and patterning of predefined structures |
US9859156B2 (en) * | 2015-12-30 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure with sidewall dielectric protection layer |
CN110890315B (zh) * | 2018-09-07 | 2024-07-12 | 长鑫存储技术有限公司 | 具有大马士革结构的半导体结构及其制备方法 |
CN110970350A (zh) * | 2018-09-28 | 2020-04-07 | 长鑫存储技术有限公司 | 包含α-Ta层的扩散阻挡层的制备方法以及复合扩散阻挡层 |
CN113424306B (zh) * | 2018-12-17 | 2024-09-17 | 艾瑞科公司 | 三维电路的形成 |
KR102622412B1 (ko) | 2019-07-05 | 2024-01-09 | 삼성전자주식회사 | 관통 홀을 포함하는 반도체 패키지 및 이의 제조 방법 |
KR102593266B1 (ko) | 2019-07-17 | 2023-10-26 | 삼성전자주식회사 | 반도체 장치 및 반도체 패키지 |
CN112768342B (zh) * | 2019-11-02 | 2022-03-22 | 长鑫存储技术有限公司 | 一种半导体结构及其形成方法 |
CN112201570A (zh) * | 2020-09-24 | 2021-01-08 | 上海华力集成电路制造有限公司 | 一种减少光刻胶中毒的工艺方法 |
TWI813965B (zh) * | 2021-03-17 | 2023-09-01 | 華邦電子股份有限公司 | 半導體裝置及其形成方法 |
CN115148689A (zh) * | 2021-03-30 | 2022-10-04 | 华邦电子股份有限公司 | 半导体装置及其形成方法 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5021840A (en) * | 1987-08-18 | 1991-06-04 | Texas Instruments Incorporated | Schottky or PN diode with composite sidewall |
JP3431247B2 (ja) * | 1993-12-28 | 2003-07-28 | 株式会社日立製作所 | 薄膜製造方法および薄膜多層基板製造方法 |
US5494854A (en) * | 1994-08-17 | 1996-02-27 | Texas Instruments Incorporated | Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films |
JP3422580B2 (ja) | 1994-12-16 | 2003-06-30 | 三菱電機株式会社 | 半導体装置の製造方法 |
US5686761A (en) | 1995-06-06 | 1997-11-11 | Advanced Micro Devices, Inc. | Production worthy interconnect process for deep sub-half micrometer back-end-of-line technology |
US5801094A (en) | 1997-02-28 | 1998-09-01 | United Microelectronics Corporation | Dual damascene process |
US6066578A (en) | 1997-12-01 | 2000-05-23 | Advanced Micro Devices, Inc. | Method and system for providing inorganic vapor surface treatment for photoresist adhesion promotion |
US6057239A (en) | 1997-12-17 | 2000-05-02 | Advanced Micro Devices, Inc. | Dual damascene process using sacrificial spin-on materials |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
TW392324B (en) * | 1998-01-23 | 2000-06-01 | United Microelectronics Corp | Dual damascene process |
US6093966A (en) * | 1998-03-20 | 2000-07-25 | Motorola, Inc. | Semiconductor device with a copper barrier layer and formation thereof |
US6300672B1 (en) | 1998-07-22 | 2001-10-09 | Siemens Aktiengesellschaft | Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication |
US6171951B1 (en) * | 1998-10-30 | 2001-01-09 | United Microelectronic Corp. | Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening |
US6770975B2 (en) * | 1999-06-09 | 2004-08-03 | Alliedsignal Inc. | Integrated circuits with multiple low dielectric-constant inter-metal dielectrics |
JP2001060564A (ja) * | 1999-08-23 | 2001-03-06 | Nec Corp | 半導体装置の製造方法 |
US6211061B1 (en) * | 1999-10-29 | 2001-04-03 | Taiwan Semiconductor Manufactuirng Company | Dual damascene process for carbon-based low-K materials |
US6323121B1 (en) * | 2000-05-12 | 2001-11-27 | Taiwan Semiconductor Manufacturing Company | Fully dry post-via-etch cleaning method for a damascene process |
US6319809B1 (en) | 2000-07-12 | 2001-11-20 | Taiwan Semiconductor Manfacturing Company | Method to reduce via poison in low-k Cu dual damascene by UV-treatment |
TW451449B (en) * | 2000-08-17 | 2001-08-21 | United Microelectronics Corp | Manufacturing method of dual damascene structure |
-
2000
- 2000-12-26 US US09/748,692 patent/US6583047B2/en not_active Expired - Fee Related
-
2001
- 2001-12-20 WO PCT/US2001/050233 patent/WO2002052642A2/en not_active Application Discontinuation
- 2001-12-20 EP EP01992350A patent/EP1346407A2/en not_active Withdrawn
- 2001-12-20 CN CNA018228682A patent/CN1493087A/zh active Pending
- 2001-12-20 KR KR10-2003-7008699A patent/KR20030063478A/ko not_active Application Discontinuation
- 2001-12-20 JP JP2002553242A patent/JP2004530287A/ja active Pending
- 2001-12-20 CA CA002433153A patent/CA2433153A1/en not_active Abandoned
-
2002
- 2002-09-13 US US10/243,528 patent/US6818552B2/en not_active Expired - Fee Related
-
2004
- 2004-09-24 US US10/949,142 patent/US20050042860A1/en not_active Abandoned
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008096752A1 (ja) * | 2007-02-09 | 2008-08-14 | Tokyo Electron Limited | エッチング方法および記憶媒体 |
JP2008218959A (ja) * | 2007-02-09 | 2008-09-18 | Tokyo Electron Ltd | エッチング方法および記憶媒体 |
US8383519B2 (en) | 2007-02-09 | 2013-02-26 | Tokyo Electron Limited | Etching method and recording medium |
CN102122634A (zh) * | 2010-01-08 | 2011-07-13 | 中芯国际集成电路制造(上海)有限公司 | 通孔及金属线沟槽的刻蚀方法 |
US8222160B2 (en) | 2010-11-30 | 2012-07-17 | Kabushiki Kaisha Toshiba | Metal containing sacrifice material and method of damascene wiring formation |
Also Published As
Publication number | Publication date |
---|---|
EP1346407A2 (en) | 2003-09-24 |
CA2433153A1 (en) | 2002-07-04 |
KR20030063478A (ko) | 2003-07-28 |
WO2002052642A3 (en) | 2003-02-06 |
US20020081834A1 (en) | 2002-06-27 |
US20050042860A1 (en) | 2005-02-24 |
US6818552B2 (en) | 2004-11-16 |
US6583047B2 (en) | 2003-06-24 |
WO2002052642A2 (en) | 2002-07-04 |
CN1493087A (zh) | 2004-04-28 |
US20030032274A1 (en) | 2003-02-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6583047B2 (en) | Method for eliminating reaction between photoresist and OSG | |
US6770975B2 (en) | Integrated circuits with multiple low dielectric-constant inter-metal dielectrics | |
US6831005B1 (en) | Electron beam process during damascene processing | |
US6504247B2 (en) | Integrated having a self-aligned Cu diffusion barrier | |
US9484248B2 (en) | Patternable dielectric film structure with improved lithography and method of fabricating same | |
US7268071B2 (en) | Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping | |
US6498399B2 (en) | Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits | |
US6097095A (en) | Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics | |
KR20020013913A (ko) | 전자 디바이스에서의 유기 유전체 피막 집적화에 실록산유전체 피막 사용방법 | |
US6489030B1 (en) | Low dielectric constant films used as copper diffusion barrier | |
US6452275B1 (en) | Fabrication of integrated circuits with borderless vias | |
US6383912B1 (en) | Fabrication method of integrated circuits with multiple low dielectric-constant intermetal dielectrics | |
US20030205815A1 (en) | Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics | |
US6613665B1 (en) | Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface | |
AU2002232816A1 (en) | Method for eliminating reaction between photoresist and organosilicate glass |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041220 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20061023 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080222 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080710 |