JP4076131B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4076131B2
JP4076131B2 JP2002166621A JP2002166621A JP4076131B2 JP 4076131 B2 JP4076131 B2 JP 4076131B2 JP 2002166621 A JP2002166621 A JP 2002166621A JP 2002166621 A JP2002166621 A JP 2002166621A JP 4076131 B2 JP4076131 B2 JP 4076131B2
Authority
JP
Japan
Prior art keywords
insulating film
layer
wiring
polishing
wiring layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002166621A
Other languages
English (en)
Other versions
JP2004014828A (ja
JP2004014828A5 (ja
Inventor
基守 宮嶋
章孝 柄沢
勉 細田
敏志 大塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002166621A priority Critical patent/JP4076131B2/ja
Priority to US10/326,378 priority patent/US6686285B2/en
Priority to TW091137886A priority patent/TWI224536B/zh
Priority to KR1020030000520A priority patent/KR100814234B1/ko
Priority to CNB031064248A priority patent/CN1225019C/zh
Publication of JP2004014828A publication Critical patent/JP2004014828A/ja
Publication of JP2004014828A5 publication Critical patent/JP2004014828A5/ja
Application granted granted Critical
Publication of JP4076131B2 publication Critical patent/JP4076131B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、配線形成方法に関し、特に絶縁層に凹部を形成し、その凹部内を埋め込むように絶縁層上に導電性部材を堆積させ、この導電性部材を研磨して凹部内に導電性部材の一部を残す配線形成方法に関する。
【0002】
【従来の技術】
高密度半導体集積回路装置の配線形成工程で、高速化と信頼性とを両立できる銅を用いたダマシン法が使用される。層間絶縁膜に、配線用の溝とビアホールとを形成し、その中に銅を埋め込んで不要な部分を化学機械研磨で取り除くデュアルダマシン法は、最先端の半導体集積回路装置の製造に必須の技術になっている。
【0003】
図9を参照して、従来のデュアルダマシン法を用いた配線の形成方法について説明する。
図9(A)に示すように、下地の層間絶縁膜100の表層部の一部に銅配線101が配置されている。層間絶縁膜100及び配線101の上に、キャップ層102、第1の層間絶縁膜103、エッチングストッパ層104、第2の層間絶縁膜105を順番に堆積させる。通常のフォトリソグラフィ工程を経て、第2の層間絶縁膜105に配線溝106を形成する。配線溝106の底面にエッチングストッパ層104が露出する。
【0004】
図9(B)に示すように、配線溝106の底面に露出したエッチングストッパ層104に、通常のフォトリソグラフィ技術を用いて開口を形成する。この開口を通して第1の層間絶縁膜103をエッチングし、ビアホール107を形成する。ビアホール107の底面に露出したキャップ層102を除去し、銅配線101を露出させる。
【0005】
配線溝106及びビアホール107の内面上にバリアメタル層を形成し、その上に銅のシード層を形成する。シード層を電極として銅を電解めっきし、銅層108を形成する。銅層108は、配線溝106及びビアホール107内を埋め込む。
【0006】
図9(C)に示すように、銅層108の化学機械研磨(CMP)を行い、銅層108の不要部分を除去する。これにより、配線溝106及びビアホール107内に銅配線108が残る。
【0007】
図9(D)に示すように、第2の層間絶縁膜105及び銅配線108の上に、キャップ層109及び第3の層間絶縁膜110を形成する。配線108の上に、配線108と同様の方法で上層の配線が形成される。
【0008】
【発明が解決しようとする課題】
図9(C)に示した工程で銅層108のCMPを行うと、ディッシングやエロージョンと呼ばれる凹凸が基板の表面に発生する。
【0009】
図10(A)に、CMP後の基板表面の凹凸の測定結果を示す。横軸は基板表面に沿った走査距離を表し、1目盛りが80μmに相当する。縦軸は表面の高さを表し、1目盛りが50nmに相当する。銅配線のパターンに対応してディッシングDが発生している。また、銅配線の密集する領域に、エロージョンEが発生している。
【0010】
ディッシングは、CMPで使用する研磨パッドが変形し配線パターンに追従するために発生する。エロージョンは、特に銅配線が密集する部分において、銅配線を分離する絶縁膜にCMP時の加工圧力が集中して、絶縁膜及び銅配線が過研磨されることにより発生する。
【0011】
図10(B)に、ディッシングの深さと配線幅との関係を示す。横軸は配線幅を単位「μm」で表し、縦軸はディッシングの深さを単位「nm」で表す。配線幅が広くなるに従って、ディッシングが深くなることがわかる。
【0012】
ディッシングやエロージョンが発生すると、図9(D)に示した第3の層間絶縁膜110の表面に、下地表面の凹凸に倣った凹凸が発生する。層間絶縁膜の表面に発生した凹凸は、その層間絶縁膜に形成された配線溝に埋め込まれた銅層を研磨した後に銅の研磨残りが発生する原因になる。銅の研磨残りは、配線の短絡の原因になる。銅の研磨残りの発生を防止するために、層間絶縁膜を形成した後に、その表面をCMP等によって平坦化しなければならない。
【0013】
本発明の目的は、ディッシングやエロージョン等の凹凸の発生を抑制することが可能な配線形成方法を提供することである。
【0014】
【課題を解決するための手段】
本発明の一観点によると、
(a)下地基板の上に疎水性を有する第1の絶縁材料からなる第1の絶縁膜を形成する工程と、
(b)前記第1の絶縁膜の上に、前記第1の絶縁材料とは異なる第2の絶縁材料からなる第2の絶縁膜を形成する工程と、
(c)前記第2の絶縁膜及び前記第1の絶縁膜に、少なくとも該第1の絶縁膜の途中まで達する凹部を形成する工程と、
(d)前記凹部内を埋め込むように、前記第2の絶縁膜の上に、バリアメタル層を介して、導電材料からなる配線層を堆積させる工程と、
(e)前記配線層の研磨速度が前記第2の絶縁膜及び前記バリアメタル層の研磨速度よりも速い条件で、前記配線層を研磨して、前記凹部内に該配線層を残す工程と、
(f)前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨する工程と
を有し、前記工程(e)において、前記配線層の研磨速度が前記第2の絶縁膜の研磨速度よりも速い条件で、前記配線層を研磨し、前記配線層の表面に現れるディッシングの最深部が、前記第2の絶縁膜の底面よりも高い状態で、研磨を終了し、
前記工程(f)において、前記第2の絶縁膜及び前記バリアメタル層の研磨速度が前記配線層の研磨速度よりも速い条件で、前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨し、
前記工程(f)の後の被研磨面は、前記工程(e)の後の被研磨面よりも平坦であり、前記工程(e)の後に発生していたディッシング部分が平坦化されている半導体装置の製造方法が提供される。
【0015】
工程(f)の研磨条件を適当に選択することにより、ディッシングやエロージョンの発生を抑制することができる。
【0016】
【発明の実施の形態】
図1に、本発明の第1の実施例による配線形成方法で作製された半導体集積回路装置の断面図を示す。p型シリコンからなる半導体基板1の表面上に素子分離絶縁膜2が形成され、素子分離絶縁膜2によって活性領域が画定されている。活性領域内にMOSトランジスタ3が形成されている。MOSトランジスタ3は、ゲート絶縁膜3a、ゲート電極3b、不純物拡散領域3c及び3dを含んで構成される。不純物拡散領域3c及び3dの一方がソース領域であり、他方がドレイン領域である。
【0017】
不純物拡散領域3c及び3dは、ゲート電極3bの両側の基板表層部に形成され、低濃度ドレイン(LDD)構造を有する。ゲート電極3bの側面上に絶縁性のサイドウォールスペーサ3eが形成されている。サイドウォールスペーサ3eは、不純物拡散領域3c及び3dの高濃度部にイオン注入する際のマスクとなる。
【0018】
半導体基板1の上に、MOSトランジスタ3を覆うように、酸化シリコン(SiO2)からなる第1の層間絶縁膜4が形成されている。不純物拡散領域3c及び3dに対応する位置に、それぞれ第1の層間絶縁膜4を貫通するコンタクトホール4a及び4bが形成されている。コンタクトホール4a及び4b内に、それぞれ導電性のプラグ5a及び5bが埋め込まれている。プラグ5a及び5bは、側面及び底面を被覆する窒化チタン(TiN)からなるバリアメタル層と、バリアメタル層の上に形成されたタングステン部材とを含んで構成される。
【0019】
第1の層間絶縁膜4の上に、アルミニウムからなる第1層目の配線7が形成されている。この配線7は、プラグ5bを介してMOSトランジスタ3の不純物拡散領域3dに接続されている。
【0020】
第1の層間絶縁膜4の上に、第1層目の配線7を覆うように第2の層間絶縁膜8が形成されている。第2の層間絶縁膜8は、酸化シリコン、ボロフォスフォシリケートガラス(BPSG)、またはフォスフォシリケートガラス(PSG)で形成されている。プラグ5aに対応する位置に、第2の層間絶縁膜8を貫通するコンタクトホール8aが形成されている。このコンタクトホール8a内に、導電性のプラグ9が埋め込まれている。
【0021】
第2の層間絶縁膜8の上に窒化シリコンからなるキャップ層10及び酸化シリコンからなる第3の層間絶縁膜11が形成されている。第3の層間絶縁膜11及びキャップ層10に、配線溝11a及び11bが形成されている。配線溝11a及び11b内に、それぞれ第2層目の配線12a及び12bが埋め込まれている。
【0022】
配線12a及び12bは、配線溝11a及び11bの側面及び底面を覆うバリアメタル層、バリアメタル層の表面を覆うシード層、シード層を覆い配線溝内に充填された主配線部材の3層構造を有する。バリアメタル層は、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)等で形成されている。なお、バリアメタル層がTa層とTaN層との積層構造とされる場合もある。シード層及び主配線部材は、銅または銅を主成分とする合金で形成されている。
【0023】
配線12a、12b、及び第3の層間絶縁膜11の上に、キャップ層15、第4の層間絶縁膜16、エッチングストッパ層17、及び第5の層間絶縁膜18がこの順番に積層されている。エッチングストッパ層15及びエッチングストッパ層17は、炭化シリコン(SiC)で形成されている。第4の層間絶縁膜16及び第5の層間絶縁膜18は、SiOCで形成されている。
【0024】
第5の層間絶縁膜18に、エッチングストッパ層17まで達する配線溝19が形成されている。さらに、配線溝19の底面のエッチングストッパ層17から配線12aの上面まで達するビアホール20が形成されている。
【0025】
配線溝19及びビアホール20内に、第3層目の配線21が埋め込まれている。第3層目の配線21は、配線溝19及びビアホール20の側面及び底面を覆うバリアメタル層、このバリアメタル層を覆うシード層、及びシード層を覆い配線溝19とビアホール20との内部に充填された主配線部材で構成される。バリアメタル層、シード層、及び主配線部材の材料は、第2層目の配線12aのこれらの材料と同じである。
【0026】
次に、図2〜図4を参照して、第1の実施例による配線形成方法について説明する。以下、図1に示した第3層目の配線21の形成方法を例にとって説明する。図2〜図4では、図1のキャップ層15から上の層についてのみ示す。
【0027】
図2(A)に示すように、第3の層間絶縁膜11の上に、SiCからなる厚さ50nmのキャップ層15、SiOCからなる厚さ600nmの第4の層間絶縁膜16、SiCからなる厚さ50nmのエッチングストッパ層17、SiOCからなる厚さ400nmの第5の層間絶縁膜18、及びSiO2からなる厚さ100nmの犠牲膜30を順番に形成する。これらの層は、プラズマ励起型化学気相成長(PE−CVD)により形成することができる。SiC膜及びSiOC膜は、例えばノベラス社からSiC及びCORAL、AMAT社からBLOCK及びBlackDiamondという商品名で提供されている材料を用いて形成することができる。
【0028】
なお、必要に応じて、犠牲膜30の上に、SiONまたはSiN等からなる反射防止膜を形成してもよい。
図2(B)に示すように、犠牲膜30の表面をレジスト膜31で覆い、レジスト膜31に、形成すべき配線に対応した開口を形成する。レジスト膜31をマスクとして、犠牲膜30及び第5の層間絶縁膜18をドライエッチングし、配線溝19を形成する。エッチングガスとして、例えばCF4とCH22との混合ガスやC46ガス等を使用することができる。エッチングストッパ層17が露出した時点でエッチングが停止する。配線溝19を形成した後、レジスト膜31を除去する。
【0029】
図2(C)に示すように、犠牲膜30の上面、及び配線溝19の内面をレジスト膜32で覆い、形成すべきビアホールに対応した開口を形成する。レジスト膜32をマスクとして、エッチングストッパ層17及び第4の層間絶縁膜16をエッチングする。エッチングストッパ層17は、例えばCF4とCH22との混合ガスを用いてドライエッチングされる。第4の層間絶縁膜16は、例えばC46ガスを用いてドライエッチングされる。ビアホール20が形成され、その底面にキャップ層15が露出する。第4の層間絶縁膜16をエッチングした後、レジスト膜32を除去する。
【0030】
ビアホール20の底面に露出したキャップ層15を除去し、下層の銅配線を露出させる。キャップ層15は、例えばCHF3ガスを用いてドライエッチングされる。このとき、配線溝19の底面に露出したエッチングストッパ層17も除去される。
【0031】
図3(D)に示すように、配線溝19の内面、ビアホール20の内面、及び犠牲膜30の表面を、タンタル(Ta)からなる厚さ10nmのバリアメタル層21aで覆う。なお、バリアメタル層21aの材料として、窒化タンタル(TaN)、窒化チタン(TiN)等を使用してもよい。バリアメタル層21aの表面上に、銅(Cu)からなる厚さ150nmのシード層21bを形成する。バリアメタル層21a及びシード層21bは、スパッタリングにより形成される。次に、銅を電解めっきし、銅層21cを形成する。銅層21cは、配線溝19及びビアホール20内に充填されるのに十分な厚さとする。
【0032】
図3(E)に示すように、銅の研磨速度が、タンタルや酸化シリコンの研磨速度よりも速くなるような研磨液を用いて、銅層21cを化学機械研磨する。研磨液として、例えばシリカ等の砥粒、銅と錯体をつくる有機物、銅の腐食防止剤及び酸化剤等を含むものが使用される。タンタルや酸化シリコンの研磨速度が比較的遅いため、Taからなるバリアメタル層21a、またはSiO2からなる犠牲膜30が露出した時点で再現性よく研磨を停止させることができる。
【0033】
銅の研磨速度が比較的速いため、配線溝19内に残った銅配線21cの表面にディッシングが発生する。このディッシングの最深部が犠牲膜30の底面よりも高くなるように、犠牲膜30を厚くしておくことが好ましい。
【0034】
図4(F)に示すように、タンタルや酸化シリコンの研磨速度が銅の研磨速度よりも速くなるような研磨液を用いて、犠牲膜30、銅層21c、シード層21b、及びバリアメタル層21aを化学機械研磨する。研磨液として、例えばシリカ等の砥粒、有機酸、及び銅の腐食防止剤が混合されたものを使用することができる。
【0035】
タンタルからなるバリアメタル層21b及びSiO2からなる犠牲膜30の研磨が進み、図3(E)の状態で現れていた銅層21cの表面のディッシング部分が平坦化される。さらに研磨を続けると、銅層21cが露出した部分が凸状になる。凸状の部分に研磨圧力が集中するため、最終的には銅層21cの表面も平坦化される。
【0036】
図4(G)に示すように、疎水性のSiOCからなる第5の層間絶縁膜18が露出した時点で、第5の層間絶縁膜18が研磨停止層として働き、再現性よく研磨を停止させることができる。この研磨条件では、銅の研磨速度が比較的遅いため、銅層21cの表面にディッシングが発生しにくい。また、エロージョンの発生も防止することができる。
【0037】
図5に、基板表面に発生した窪みの深さと配線幅との関係を示す。図5(A)は、上記第1の実施例による配線形成方法を用いた場合の窪みの深さを示し、図5(B)は、従来の方法を用いた場合の窪みの深さを示す。横軸は、配線幅を単位「μm」で表し、縦軸は仮想的な平坦面からの窪みの深さを単位「nm」で表す。なお、配線部分の面積は、全表面の80%である。
【0038】
図5(A)と図5(B)とを比較すると、第1の実施例による方法で形成した場合に、窪みが浅くなっていることがわかる。このように、第1の実施例による方法を採用することにより、CMP後の基板表面を、より平坦にすることができる。
【0039】
また、第1の実施例では、第4及び第5の層間絶縁膜16及び18を、SiO2よりも誘電率の低いSiOCで形成している。このため、配線間の寄生容量を低減させることができる。
【0040】
また、図2(A)に示した第5の層間絶縁膜18と、犠牲膜30との間に、SiCからなる薄いキャップ層を配置してもよい。このキャップ層の厚さは、例えば30〜50nm程度でよい。このとき、図4(G)に示したCMP後の状態において、第5の層間絶縁膜18の表面上にSiCからなる薄いキャップ層が残る。これにより、CMP時にスクラッチが入りにくくなる。
【0041】
また、第5の層間絶縁膜18を、Si、O、C、及びHを含む絶縁材料で形成してもよい。
次に、図6〜図8を参照して、第2の実施例による配線形成方法について説明する。図1に示した第3の層間絶縁膜11まで形成した基板を準備する。以下、第3の層間絶縁膜11よりも上層の配線層の形成方法について説明する。
【0042】
図6(A)に示すように、第3の層間絶縁膜11の上に、SiCからなる厚さ50nmのキャップ層40、低誘電率有機絶縁材料、例えばダウケミカル社のSiLKからなる厚さ400nmの第6の層間絶縁膜41、SiCからなる厚さ50nmのキャップ層42、及びSiO2からなる厚さ100nmの犠牲膜43をこの順番に形成する。キャップ層40、42、及び犠牲膜43は、PE−CVDにより形成される。第6の層間絶縁膜41は、塗布法により形成される。
【0043】
図6(B)に示すように、犠牲膜43の表面上にレジスト膜45を塗布し、通常のフォトリソグラフィにより、配線パターンに対応した開口を形成する。レジスト膜45をマスクとして、犠牲膜43、キャップ層42、及び第6の層間絶縁膜41を、第6の層間絶縁膜41の途中までエッチングし、配線溝46を形成する。犠牲膜43、キャップ層42、及び第6の層間絶縁膜41は、C46系ガスまたはCHF3系ガスを用いてドライエッチングされる。配線溝46を形成した後、レジスト膜45を除去する。
【0044】
図6(C)に示すように、犠牲膜45の上面、及び配線溝46の内面をレジスト膜47で覆い、形成すべきビアホールに対応した開口を形成する。レジスト膜47をマスクとして、第6の層間絶縁膜41をエッチングする。第6の層間絶縁膜41は、例えばNH3とH2との混合ガスを用いてドライエッチングされる。ビアホール48が形成され、その底面にキャップ層40が露出する。第6の層間絶縁膜41をエッチングした後、レジスト膜47を除去する。
【0045】
ビアホール48の底面に露出したキャップ層40を除去し、下層の銅配線を露出させる。キャップ層40は、例えばCH22系ガスを用いてドライエッチングされる。
【0046】
図7(D)に示すように、配線溝46の内面、ビアホール48の内面、及び犠牲膜43の表面を、タンタル(Ta)からなる厚さ10nmのバリアメタル層50aで覆う。バリアメタル層50aの表面上に、銅(Cu)からなる厚さ150nmのシード層50bを形成する。次に、銅を電解めっきし、銅層50cを形成する。
【0047】
図7(E)に示すように、銅の研磨速度が、タンタルや酸化シリコンの研磨速度よりも速くなるような研磨液を用いて、銅層50cを化学機械研磨する。タンタルや酸化シリコンの研磨速度が比較的遅いため、タンタルからなるバリアメタル層50a、またはSiO2からなる犠牲膜43が露出した時点で再現性よく研磨を停止させることができる。
【0048】
銅の研磨速度が比較的速いため、配線溝46内に残った銅配線50cの表面にディッシングが発生する。このディッシングの最深部が犠牲膜43の底面よりも高くなるように、犠牲膜43を厚くしておくことが好ましい。
【0049】
図8(F)に示すように、タンタルや酸化シリコンの研磨速度が銅の研磨速度よりも速くなるような研磨液を用いて、犠牲膜43、銅層50c、シード層50b、及びバリアメタル層50aを化学機械研磨する。
【0050】
タンタルからなるバリアメタル層50b及びSiO2からなる犠牲膜43の研磨が進み、図7(E)の状態で現れていた銅層50cの表面のディッシング部分が平坦化される。さらに研磨を続けると、銅層50cが露出した部分が凸状になる。凸状の部分に研磨圧力が集中するため、最終的には銅層50cの表面も平坦化される。
【0051】
図8(G)に示すように、疎水性のSiOCからなるキャップ層42が露出した時点で、キャップ層42が研磨停止層として働き、再現性よく研磨を停止させることができる。配線溝46及びビアホール48内に、バリアメタル層50a、シード層50b及び銅層50cからなる銅配線50が残る。この研磨条件では、銅の研磨速度が比較的遅いため、銅層50cの表面にディッシングが発生しにくい。また、エロージョンの発生も防止することができる。
【0052】
上記第2の実施例では、キャップ層42をSiCで形成したが、SiCと同様に疎水性のSiOCで形成してもよい。また、キャップ層42を、SiOC層とSiC層との2層構造としてもよい。誘電率の観点からは、キャップ層42をSiOCで形成するほうが有利である。ところが、CMP時にSiOC層が表面に現れるとスクラッチが発生しやすくなる。SiOC層の上にSiC層を形成することにより、スクラッチの発生を防止することができる。
【0053】
また、上記第2の実施例では、第6の層間絶縁膜41の低誘電率有機絶縁材料の例としてSiLK(ダウケミカル社の商標)を挙げたが、その他に、ポリテトラフルオロエチレン(PTFE)、FLARE(ハネウェル社の商標)、ベンゾシクロブテン(BCB)、メチルシルセスキオキサン(MSQ)(例えばJSR社のLKD)等が挙げられる。第6の層間絶縁膜41の材料として、有機絶縁材料の代わりに低誘電率の多孔質絶縁材料等を用いてもよい。
【0054】
以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。
【0055】
上記実施例から、以下の付記に示された発明が導出される。
(付記1) (a)下地基板の上に第1の絶縁材料からなる第1の絶縁膜を形成する工程と、
(b)前記第1の絶縁膜の上に、前記第1の絶縁材料とは異なる第2の絶縁材料からなる第2の絶縁膜を形成する工程と、
(c)前記第2の絶縁膜及び前記第1の絶縁膜に、少なくとも該第1の絶縁膜の途中まで達する凹部を形成する工程と、
(d)前記凹部内を埋め込むように、前記第2の絶縁膜の上に、導電材料からなる配線層を堆積させる工程と、
(e)前記配線層を研磨して、前記凹部内に該配線層を残す工程と、
(f)前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨する工程と
を有する半導体装置の製造方法。
【0056】
(付記2) 前記工程(e)において、前記配線層の研磨速度が前記第2の絶縁膜の研磨速度よりも速い条件で、前記配線層を研磨する付記1に記載の半導体装置の製造方法。
【0057】
(付記3) 前記工程(f)において、前記第2の絶縁膜の研磨速度が前記配線層の研磨速度よりも速い条件で、前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨する付記1または2に記載の半導体装置の製造方法。
【0058】
(付記4) 前記工程(e)において、前記配線層の表面に現れるディッシングの最深部が、前記第2の絶縁膜の底面よりも高い状態で、研磨を終了する付記1〜3のいずれかに記載の半導体装置の製造方法。
【0059】
(付記5) 前記第1の絶縁膜の表面が疎水性である付記1〜4のいずれかに記載の半導体装置の製造方法。
(付記6) 前記工程(d)が、前記配線層を堆積させる前に、該配線層の材料の拡散を防止するバリアメタル層を堆積させる工程を含み、前記配線層を前記バリアメタル層の上に堆積させる付記1〜5のいずれかに記載の半導体装置の製造方法。
【0060】
(付記7) 前記工程(e)において、前記バリアメタル層が露出するまで、または前記第2の絶縁膜が露出するまで、前記配線層を研磨する付記6に記載の半導体装置の製造方法。
【0061】
(付記8) 前記工程(a)が、前記第1の絶縁膜を形成する前に、前記下地基板の上に、前記第1の絶縁膜よりも誘電率の低い有機絶縁材料または多孔質絶縁材料からなる第3の絶縁膜を形成する工程を含み、該第3の絶縁膜の上に前記第1の絶縁膜を形成し、
前記工程(c)において、少なくとも前記第3の絶縁膜の途中まで達する前記凹部を形成する付記1〜7のいずれかに記載の半導体装置の製造方法。
【0062】
(付記9) 前記第1の絶縁材料が、SiC、SiOC、及びSiOCHからなる群より選択された一つの材料である付記1〜8のいずれかに記載の半導体装置の製造方法。
【0063】
(付記10) 前記第2の絶縁材料が酸化シリコンである付記1〜9のいずれかに記載の半導体装置の製造方法。
(付記11) 前記配線層が銅または銅を主成分とする合金で形成されている付記1〜10のいずれかに記載の半導体装置の製造方法。
【0064】
(付記12) (a)下地基板の上に第1の絶縁材料からなる第1の絶縁膜を形成する工程と、
(b)前記第1の絶縁膜の上に、前記第1の絶縁材料とは異なる第2の絶縁材料からなる第2の絶縁膜を形成する工程と、
(c)前記第2の絶縁膜及び前記第1の絶縁膜に、少なくとも該第1の絶縁膜の途中まで達する凹部を形成する工程と、
(d)前記凹部内を埋め込むように、前記第2の絶縁膜の上に、導電材料からなる配線層を堆積させる工程と、
(e)前記配線層を研磨して、前記凹部内に該配線層を残す工程と、
(f)前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨する工程と
を有する配線形成方法。
【0065】
【発明の効果】
以上説明したように、本発明によれば、化学機械研磨後の基板表面の凹凸を少なくすることができる。
【図面の簡単な説明】
【図1】 第1の実施例による配線形成方法により作製した半導体集積回路装置の断面図である。
【図2】 第1の実施例による配線形成方法を説明するための配線層の断面図(その1)である。
【図3】 第1の実施例による配線形成方法を説明するための配線層の断面図(その2)である。
【図4】 第1の実施例による配線形成方法を説明するための配線層の断面図(その3)である。
【図5】 化学機械研磨後のディッシングの深さと配線幅との関係を示すグラフである。
【図6】 第2の実施例による配線形成方法を説明するための配線層の断面図(その1)である。
【図7】 第2の実施例による配線形成方法を説明するための配線層の断面図(その2)である。
【図8】 第2の実施例による配線形成方法を説明するための配線層の断面図(その3)である。
【図9】 従来のデュアルダマシン法による配線形成方法を説明するための配線層の断面図である。
【図10】 (A)は、化学機械研磨後の基板表面の凹凸を示すグラフであり、(B)は、ディッシングの深さと配線幅との関係を示すグラフである。
【符号の説明】
1 半導体基板
2 素子分離絶縁膜
3 MOSトランジスタ
4 第1の層間絶縁膜
4a、4b、8a コンタクトホール
5a、5b、9 プラグ
7 第1層目の配線
8 第2の層間絶縁膜
10、15、40、42 キャップ層
10a、10b、19、46 配線溝
11 第3の層間絶縁膜
12a、12b 第2層目の配線
16 第4の層間絶縁膜
17 エッチングストッパ層
18 第5の層間絶縁膜
20、48 ビアホール
21 第3層目の配線
30、43 犠牲膜
31、32、45、47 レジスト膜
41 第6の層間絶縁膜
50 第3層目の配線

Claims (5)

  1. (a)下地基板の上に疎水性を有する第1の絶縁材料からなる第1の絶縁膜を形成する工程と、
    (b)前記第1の絶縁膜の上に、前記第1の絶縁材料とは異なる第2の絶縁材料からなる第2の絶縁膜を形成する工程と、
    (c)前記第2の絶縁膜及び前記第1の絶縁膜に、少なくとも該第1の絶縁膜の途中まで達する凹部を形成する工程と、
    (d)前記凹部内を埋め込むように、前記第2の絶縁膜の上に、バリアメタル層を介して、導電材料からなる配線層を堆積させる工程と、
    (e)前記配線層の研磨速度が前記第2の絶縁膜及び前記バリアメタル層の研磨速度よりも速い条件で、前記配線層を研磨して、前記凹部内に該配線層を残す工程と、
    (f)前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨する工程と
    を有し、前記工程(e)において、前記配線層の研磨速度が前記第2の絶縁膜の研磨速度よりも速い条件で、前記配線層を研磨し、前記配線層の表面に現れるディッシングの最深部が、前記第2の絶縁膜の底面よりも高い状態で、研磨を終了し、
    前記工程(f)において、前記第2の絶縁膜及び前記バリアメタル層の研磨速度が前記配線層の研磨速度よりも速い条件で、前記第1の絶縁膜が露出するまで前記配線層及び前記第2の絶縁膜を研磨し、
    前記工程(f)の後の被研磨面は、前記工程(e)の後の被研磨面よりも平坦であり、前記工程(e)の後に発生していたディッシング部分が平坦化されている半導体装置の製造方法。
  2. 前記工程(a)が、前記第1の絶縁膜を形成する前に、前記下地基板の上に、前記第1の絶縁膜よりも誘電率の低い有機絶縁材料または前記第1の絶縁膜よりも誘電率の低い多孔質絶縁材料からなる第3の絶縁膜を形成する工程を含み、該第3の絶縁膜の上に前記第1の絶縁膜を形成し、
    前記工程(c)において、少なくとも前記第3の絶縁膜の途中まで達する前記凹部を形成する請求項に記載の半導体装置の製造方法。
  3. 前記第1の絶縁材料が、SiC、SiOC、及びSiOCHからなる群より選択された一つの材料である請求項1または2に記載の半導体装置の製造方法。
  4. 前記第2の絶縁材料が酸化シリコンである請求項1〜のいずれかに記載の半導体装置の製造方法。
  5. 前記工程(a)が、前記下地基板の上にSiOCからなる第3の絶縁膜を形成する工程を含み、前記第1の絶縁材料がSiCであり、前記第1の絶縁膜を該第3の絶縁膜の上に形成する請求項1〜のいずれかに記載の半導体装置の製造方法。
JP2002166621A 2002-06-07 2002-06-07 半導体装置の製造方法 Expired - Fee Related JP4076131B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002166621A JP4076131B2 (ja) 2002-06-07 2002-06-07 半導体装置の製造方法
US10/326,378 US6686285B2 (en) 2002-06-07 2002-12-23 Semiconductor device manufacture method preventing dishing and erosion during chemical mechanical polishing
TW091137886A TWI224536B (en) 2002-06-07 2002-12-30 Semiconductor device manufacture method preventing dishing and erosion during chemical mechanical polishing
KR1020030000520A KR100814234B1 (ko) 2002-06-07 2003-01-06 반도체 장치의 제조 방법
CNB031064248A CN1225019C (zh) 2002-06-07 2003-02-25 防止化学机械抛光中的凹陷和侵蚀的半导体器件制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002166621A JP4076131B2 (ja) 2002-06-07 2002-06-07 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2004014828A JP2004014828A (ja) 2004-01-15
JP2004014828A5 JP2004014828A5 (ja) 2005-10-06
JP4076131B2 true JP4076131B2 (ja) 2008-04-16

Family

ID=29706730

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002166621A Expired - Fee Related JP4076131B2 (ja) 2002-06-07 2002-06-07 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US6686285B2 (ja)
JP (1) JP4076131B2 (ja)
KR (1) KR100814234B1 (ja)
CN (1) CN1225019C (ja)
TW (1) TWI224536B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004253791A (ja) * 2003-01-29 2004-09-09 Nec Electronics Corp 絶縁膜およびそれを用いた半導体装置
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
JP2006165214A (ja) * 2004-12-07 2006-06-22 Sony Corp 半導体装置およびその製造方法
KR100711912B1 (ko) * 2005-12-28 2007-04-27 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
JP4231055B2 (ja) * 2006-02-06 2009-02-25 株式会社東芝 半導体装置及びその製造方法
JP2007251135A (ja) * 2006-02-18 2007-09-27 Seiko Instruments Inc 半導体装置およびその製造方法
JP2007294514A (ja) * 2006-04-21 2007-11-08 Renesas Technology Corp 半導体装置
US8193087B2 (en) 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
JP2012064713A (ja) * 2010-09-15 2012-03-29 Toshiba Corp 半導体装置の製造方法
US11862607B2 (en) * 2021-08-16 2024-01-02 Micron Technology, Inc. Composite dielectric structures for semiconductor die assemblies and associated systems and methods

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2630588A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour realiser une configuration d'interconnexion sur un dispositif semiconducteur notamment un circuit a densite d'integration elevee
KR100238220B1 (en) * 1996-12-17 2000-01-15 Samsung Electronics Co Ltd Plattening method of semiconductor device
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6420261B2 (en) * 1998-08-31 2002-07-16 Fujitsu Limited Semiconductor device manufacturing method
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
KR100292409B1 (ko) * 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
JP2001144086A (ja) * 1999-08-31 2001-05-25 Sony Corp 埋め込み配線の形成方法、及び、基体処理装置
US7041599B1 (en) * 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
US6380003B1 (en) * 1999-12-22 2002-04-30 International Business Machines Corporation Damascene anti-fuse with slot via
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
JP3917355B2 (ja) * 2000-09-21 2007-05-23 株式会社東芝 半導体装置およびその製造方法
US20020064951A1 (en) * 2000-11-30 2002-05-30 Eissa Mona M. Treatment of low-k dielectric films to enable patterning of deep submicron features
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
JP4160277B2 (ja) * 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
US6562725B2 (en) * 2001-07-05 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers
KR100442863B1 (ko) * 2001-08-01 2004-08-02 삼성전자주식회사 금속-절연체-금속 커패시터 및 다마신 배선 구조를 갖는반도체 소자의 제조 방법
JP4131786B2 (ja) * 2001-09-03 2008-08-13 株式会社東芝 半導体装置の製造方法およびウエハ構造体
US6440840B1 (en) * 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
US6531386B1 (en) * 2002-02-08 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dish-free copper interconnects

Also Published As

Publication number Publication date
CN1225019C (zh) 2005-10-26
US20030228765A1 (en) 2003-12-11
KR20030095189A (ko) 2003-12-18
JP2004014828A (ja) 2004-01-15
CN1467817A (zh) 2004-01-14
TWI224536B (en) 2004-12-01
KR100814234B1 (ko) 2008-03-17
TW200307589A (en) 2003-12-16
US6686285B2 (en) 2004-02-03

Similar Documents

Publication Publication Date Title
US10340178B2 (en) Via patterning using multiple photo multiple etch
US8354347B2 (en) Method of forming high-k dielectric stop layer for contact hole opening
JP5263482B2 (ja) 多層配線構造および多層配線の製造方法
US6897143B2 (en) Method of manufacturing semiconductor device including two-step polishing operation for cap metal
KR20000077104A (ko) 산화물 충전을 통한 이중 다마신 공정의 수율 향상
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
US10497614B2 (en) Semiconductor structure and fabrication method thereof
US6908863B2 (en) Sacrificial dielectric planarization layer
US6495448B1 (en) Dual damascene process
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
JP4076131B2 (ja) 半導体装置の製造方法
US6987322B2 (en) Contact etching utilizing multi-layer hard mask
US20070018341A1 (en) Contact etching utilizing partially recessed hard mask
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
KR100783868B1 (ko) 반도체장치의 제조방법 및 반도체장치
JP2006060166A (ja) 電子デバイス及びその製造方法
TWI812206B (zh) 半導體結構及其形成方法
JP2006228977A (ja) 半導体装置及び半導体装置の製造方法
JP2006351732A (ja) 半導体装置の製造方法
JPH10116904A (ja) 半導体装置の製造方法
JPH09167768A (ja) 半導体装置の製造方法
US6245683B1 (en) Stress relieve pattern for damascene process
US6815337B1 (en) Method to improve borderless metal line process window for sub-micron designs
US20230178379A1 (en) Film deposition for patterning process
US8048799B2 (en) Method for forming copper wiring in semiconductor device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050530

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050530

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070724

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080125

R150 Certificate of patent or registration of utility model

Ref document number: 4076131

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110208

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110208

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110208

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110208

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120208

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130208

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140208

Year of fee payment: 6

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees