JP2004214267A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2004214267A
JP2004214267A JP2002379278A JP2002379278A JP2004214267A JP 2004214267 A JP2004214267 A JP 2004214267A JP 2002379278 A JP2002379278 A JP 2002379278A JP 2002379278 A JP2002379278 A JP 2002379278A JP 2004214267 A JP2004214267 A JP 2004214267A
Authority
JP
Japan
Prior art keywords
semiconductor device
film
wiring
copper
solid solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002379278A
Other languages
English (en)
Other versions
JP4647184B2 (ja
Inventor
Yorinobu Kunimune
依信 国宗
Mieko Hasegawa
三恵子 長谷川
Takamasa Ito
孝政 伊藤
Takeshi Takeda
健 武田
Hidemitsu Aoki
秀充 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2002379278A priority Critical patent/JP4647184B2/ja
Priority to US10/740,813 priority patent/US7687918B2/en
Priority to TW092136860A priority patent/TWI298510B/zh
Publication of JP2004214267A publication Critical patent/JP2004214267A/ja
Application granted granted Critical
Publication of JP4647184B2 publication Critical patent/JP4647184B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】エレクトロマイグレーション耐性あるいはストレスマイグレーション耐性を大幅に改善した金属配線を備える半導体装置を提供する。
【解決手段】銅配線107を、シリコン低濃度領域104と、その上部に設けられたシリコン固溶層106からなる構成とする。シリコン固溶層106は、銅配線107を構成する銅の結晶構造中にシリコンが格子間元素または置換元素として配置された構造となっている。シリコン固溶層106を構成するシリコン含有銅は、銅の結晶構造(面心立方格子;格子定数3.6オングストローム)を維持しつつ格子間元素または置換元素としてシリコンが導入された状態となっている。
【選択図】 図2

Description

【0001】
【発明の属する技術分野】
本発明は、金属配線を備える半導体装置およびその製造方法に関するものである。
【0002】
【従来の技術】
近年における半導体装置の高集積化への要請から、配線やプラグの材料として銅が広く用いられるようになってきた。銅は、従来用いられていたアルミニウムに比べ、抵抗が低く、エレクトロマイグレーション耐性にも優れるという特徴を有している。
【0003】
しかし、素子の微細化がさらに進行するにつれ、こうした銅を用いた配線においてもエレクトロマイグレーションの発生が問題となるようになってきた。銅配線を構成する銅膜は、通常めっき法などにより形成されるが、この場合、銅膜は多数の多結晶構造の銅粒子が集合した形態となる。こうした構造の銅配線に電圧を印加すると、銅粒子の粒界を経由して物質移動が起こり、結果としてエレクトロマイグレーションが発生する。配線幅の小さい配線においては、銅粒子のサイズも小さくなることから、このような粒界を介した物質移動によるマイグレーションの問題はより顕著となる。こうしたエレクトロマイグレーションに対する耐性は素子寿命と密接に関連し、エレクトロマイグレーション耐性に劣る素子は素子寿命が短い。
【0004】
一方、銅を用いた配線構造において、最近、ストレスマイグレーションの発生が取り沙汰されている。図1は、ダマシン法により形成した銅多層配線の断面模式図である。下層配線121aの上部に上層配線121bが接続した構成となっており、上層配線121bは、接続プラグとその上部に形成された配線からなっている。図1(a)では、上層配線121b側に空洞122が発生している。すなわち、上層配線121bを構成するビアの部分に空洞が生じている。一方、図1(b)では、下層配線121aの上面に空洞122が発生している。このような空洞122は、半導体プロセス中の熱履歴等により銅配線中に内部応力が生じることが原因と考えられる。図1(a)では、上層配線121b中に、銅の「吸い上げ」が起こり、ビア中で銅が上方にマイグレートすることにより空洞122が発生するものと考えられる。図1(b)では、下層配線121a中で水平方向に銅がマイグレートし、この結果、空洞122が発生するものと考えられる。こうした応力にともなう銅の物質移動の現象をストレスマイグレーションという。このような空洞が生じると、接続プラグと配線との接続不良が生じ、半導体装置の歩留が低下したり、長期の使用により半導体装置の動作が不安定になったりする。
【0005】
特許文献1には、銅配線中にMg、Zr、Sn等の異種元素を導入して銅合金を形成することによりエレクトロマイグレーション耐性を向上できることが記載されている。しかしながら、同文献記載の技術では、銅配線の導電率が上昇する等の問題が生じたることがあった。また、エレクトロマイグレーション耐性の向上にはある程度有効であっても、ストレスマイグレーション耐性の向上を図ることが難しかった。
【0006】
一方、ストレスマイグレーションの発生を抑制する技術として、従来、銅配線の上部に銅シリサイド層を形成する技術が知られている。特許文献2には、ストレスマイグレーション耐性等を向上する目的で、銅配線上部にCuシリサイド層が形成する技術が開示されている。しかしながら、こうしたシリサイド層を形成した場合でも、ストレスマイグレーションを完全に抑制することは困難である。
【0007】
【特許文献1】
特開平11−204524号公報
【特許文献2】
特開平9−321045号公報
【0008】
【発明が解決しようとする課題】
本発明は上記事情に鑑みなされたものであって、その目的とするところは、従来技術に比し、エレクトロマイグレーション耐性あるいはストレスマイグレーション耐性を大幅に改善した金属配線を備える半導体装置を提供することにある。
さらに本発明は、こうした半導体装置を安定的に製造できるプロセスを提供することを目的とする。
【0009】
【課題を解決するための手段】
本発明によれば、半導体基板と、該半導体基板上に形成された絶縁膜と、該絶縁膜中に埋設された金属配線とを備え、前記金属配線は、固溶原子を含むことを特徴とする半導体装置が提供される。
【0010】
この半導体装置は、固溶原子を含む金属配線を備えている。ここで、「固溶」とは二種類の物質が固体で互いに溶けた状態をいい、銅シリサイドのようなシリサイド化合物に代表される金属間化合物を含まない。「固溶」は置換型と侵入型の2種類に大別される。置換型固溶とは、結晶を構成する格子が異種原子により置換された形態の固溶状態をいう。侵入型固溶とは、結晶を構成する格子の間の空間に異種原子が配置された形態の固溶をいう。
【0011】
本発明における金属配線は、固溶原子を含む構成を有し、これにより、優れたエレクトロマイグレーション耐性およびストレスマイグレーション耐性を実現している。
【0012】
なお、従来技術の項において銅配線の表面にシリサイドを形成した構成を記載したが、これは上述のように金属間化合物に相当する。シリサイドを設けた構成では、後述するように充分なストレスマイグレーション耐性が得られないことがある。
【0013】
また本発明によれば、半導体基板上に絶縁膜を形成する工程と、前記絶縁膜上に金属配線を形成する工程と、前記金属配線を構成する金属とは異なる原子を含むガスを前記金属配線に照射し、前記金属配線中に固溶原子を導入する工程と、を含むことを特徴とする半導体装置の製造方法が提供される。
【0014】
本発明の方法によれば、エレクトロマイグレーション耐性やストレスマイグレーション耐性に優れる半導体装置を安定的に得ることができる。
【0015】
本発明の半導体装置の製造方法において、前記金属配線を形成する前記工程の後、前記金属配線の上部に前記金属配線を構成する金属の酸化層を形成する工程をさらに含み、その後、前記酸化層の表面に前記ガスを照射し、前記金属配線中に固溶原子を導入する構成とすることができる。また、前記酸化層を形成する前記工程は、前記金属配線の表面を有機酸により洗浄した後、純水によりリンスする工程を含む構成とすることができる。こうすることによって、上記特性を有する半導体装置を安定的に得ることができる。
【0016】
さらに、前記金属配線中に前記固溶原子を導入した後、前記金属配線上にSiC、SiN、SiONまたはSiOCを含む膜を形成する工程をさらに含む構成とすることができる。こうした材料からなる膜を配線上に形成することによって、隣接配線間の寄生容量の増大を最小限に低減しつつ金属配線を構成する金属が層間絶縁膜へ拡散することを効果的に抑制することができる。
【0017】
本発明において、金属配線を構成する金属は、銅または銅含有合金とすることができる。固溶原子は、金属配線を構成する金属結晶に対して、侵入型または置換型の形態で導入され得る性状および大きさを有する。構成配線を銅または銅含有合金とする場合、固溶原子の原子半径は1.4オングストローム以下とすることが望ましい。こうすることにより、ストレスマイグレーション耐性に優れる固溶体を安定的に実現することができる。
【0018】
固溶原子としては、Si、Al、Be、Zn、Au、Ga、Mg、Ni、Pd、Pt等を例示することができる。このうち、より優れたストレスマイグレーション耐性を得る観点から、Si、Al、Be、Zn、Au、Ga、Mg、Ni、Pdが好ましく、さらに、高いストレスマイグレーション耐性を安定的にかつ歩留まり良く得る観点から、Si、Al、Be、Znが好ましい。本発明においては、こうした原子を固溶原子として金属配線に導入する。このような金属配線を安定的に得るためには、当該原子を、金属配線を構成する金属と金属間化合物を形成しないように導入することが重要となる。具体的には、当該原子の選択、当該原子を導入する条件、導入対象となる金属配線の表面状態の制御等により、固溶状態を安定的に実現することができる。
【0019】
なお、ストレスマイグレーション耐性等に優れる固溶状態を安定的に得る観点からは、固溶原子として、金属配線を構成する金属と周期表における異なる族に属するものを選択することが好ましい。
【0020】
固溶原子は金属配線の表面近傍に導入されていることが好ましい。また、固溶原子の導入された領域は、金属配線表面近傍の固溶層をなすこととすることができる。
【0021】
固溶層における固溶原子の濃度は、金属配線を構成する金属に対する固溶範囲内とすることが好ましい。たとえばシ銅配線に対してシリコンを導入する場合、0.1原子%以上9原子%以下であることが好ましい。こうすることによって、ストレスマイグレーション耐性やエレクトロマイグレーション耐性を一層顕著に改善することができる。
【0022】
また上記金属配線中、固溶層を除く領域における固溶原子の濃度は、0.1原子%未満であることが好ましい。また、金属配線中、固溶層の厚みは、金属配線の厚みの40%以下とすることができる。こうすることによって、配線抵抗の上昇を抑えつつストレスマイグレーション耐性やエレクトロマイグレーション耐性を改善することができる。
【0023】
【発明の実施の形態】
第一の実施の形態
図2は本実施形態に係る半導体装置の構成を示す断面図である。この半導体装置は、不図示のシリコン基板上に成膜された絶縁膜101の上に絶縁膜102が積層され、絶縁膜102に設けられた溝部に銅配線107が設けられた構成を有している。銅配線107の側面と底面は、バリアメタル膜103により覆われている。銅配線107の上面は、拡散防止膜108により覆われており、更にその上に層間絶縁膜110が積層している。
【0024】
銅配線107は、シリコン低濃度領域104と、その上部に設けられたシリコン固溶層106より構成されている。シリコン固溶層106は、銅配線107を構成する銅の結晶構造中にシリコンが格子間元素または置換元素として配置された構造となっている。この点、銅およびシリコンが反応して金属間化合物を形成するシリサイドとは、本質的に構造が異なっている。シリコン固溶層106を構成するシリコン含有銅は、図3(a)のような構造を有しているものと考えられる。すなわち、シリコン固溶層106では、銅の結晶構造(面心立方格子;格子定数3.6オングストローム)を維持しつつ格子間元素または置換元素としてシリコンが導入された状態となっている。一方、図3(b)は銅シリサイドの構造を模式的に示したものである。銅シリサイドは、シリコン固溶層と異なり、銅の結晶構造は維持されず、銅とシリコンがともに結晶を構成している。ちなみに銅シリサイドCuSiの結晶構造は、β-Mn型構造、格子定数6.2オングストロームである。こうした結晶構造の相違を示すデータについては、実施例にて後述する。
【0025】
本実施形態では、シリコン低濃度領域104により配線の低い抵抗が実現されるとともに、上述した構造のシリコン固溶層106によりストレスマイグレーション耐性およびエレクトロマイグレーション耐性が顕著に向上する。
【0026】
上記構造のシリコン含有銅を安定的に得るためには、銅膜表面に銅酸化膜を形成した状態でモノシランを照射する方法が有効である。この方法により本発明の意図とする固溶層が安定的に得られる理由は必ずしも明らかではないが、銅酸化膜の介在により、銅とシリコンの急激な反応が抑制され、銅シリサイドの形成が抑えられることによるものと考えられる。上記方法では、いったん銅、酸化銅、シリコン化合物層がこの順で積層した構造が形成される。その後、熱処理を行うと、シリコン化合物層へ銅が拡散し、シリコン含有銅層が形成される。これは、銅へのシリコンの拡散に比べシリコン化合物への銅の拡散の方が速いことによるものと思われる。こうした拡散の態様が、シリコン固溶層の形成に寄与しているものと考えられる。なお、銅膜表面に銅酸化膜を形成せず、フレッシュな銅が露出した状態でモノシランを照射した場合、銅シリサイドが形成されやすい。銅表面に堆積したシリコンが速やかに銅と反応し、銅シリサイドを生成するからである。
【0027】
図4は、上述したシリコン固溶層を安定的に形成するプロセスを示す図である。初めに銅配線をダマシンプロセスにより形成する(S101)。次に、シュウ酸水溶液を用い銅表面の酸化層を除去する(S102)。その後、純水リンスを行い、残存するシュウ酸や不純物を除去する(S103)。
【0028】
つづいて、防食剤、たとえばベンゾトリアゾール(BTA)等のアゾール系化合物を含む液により銅配線の表面を防食処理する(S104)。本実施形態では、BTAを使用する。この処理により、銅表面にBTAが付着し、銅の酸化が抑制される。
【0029】
次に、処理した基板を成膜室に移動した後、成膜室を真空雰囲気にし、BTAを揮発させる(S105)。その後、銅配線に対してシラン照射を行う(S106)。シラン照射は、例えばモノシラン(SiH)を照射する等の方法により行うことができる。この照射により、銅配線中にシリコンが導入される。その後、SiCN等の拡散防止膜をCVD法により成膜する(S106)。
【0030】
以上のプロセスにおいて、ステップ106におけるシラン照射の条件を最適化することにより、シリコン固溶層を安定的に形成することが可能となる。具体的には、銅表面に薄膜の酸化層を残存させた状態でシランを照射すること、および、シラン原料ガスの流量を過剰とならないよう最適の範囲とすること等である。酸化層の厚みの制御に関しては、ステップ102およびステップ103の条件を調整することにより、酸化層厚みを好適に制御可能である。
【0031】
また、固溶層を安定的に形成するためには、配線を構成する金属の結晶格子定数と、導入する異種原子の原子半径との関係が重要となる。格子定数に対して原子半径が大きすぎると、本発明の目的に合致する好ましい固溶体を形成し難くなることがあり、エレクトロマイグレーション耐性およびストレスマイグレーション耐性の改良効果が充分に得られない場合がある。配線金属を銅とした場合、銅の格子定数(面心立方格子;格子定数3.6オングストローム)を考慮すると、原子半径は1.4オングストローム以下であることが好ましい。こうした原子半径の異種原子を選択すれば、侵入型固溶体を比較的安定に形成することができる。
【0032】
第二の実施の形態
図5は第二の実施の形態の半導体装置の製造工程手順を示す断面図である。トランジスタなどの半導体素子が形成された半導体基板(不図示)上に、下地絶縁膜101および膜厚500nmのSiO絶縁膜102を成膜した後、ドライエッチングによりSiO絶縁膜102に溝配線用パターンを形成する(図5(a))
次に、図5(b)に示すように、露出した下地絶縁膜101およびSiO絶縁膜102の上に、バリアメタルとしてTa/TaN膜105(Ta膜およびTaN膜がこの順で積層した膜)を膜厚30nmで成膜する。次いで溝配線用パターンを埋め込むように、電解メッキ法によりCu層108を形成する。
【0033】
次に、図5(c)に示すように、溝外部のCu層108およびTa/TaN膜105をCMPにより除去し、Cu配線107を形成する。このCu配線107の表面を、シュウ酸水溶液を用いて洗浄し、銅表面の酸化層を除去する。その後、純水リンスを行い、残存するシュウ酸や不純物を除去する。つづいて、BTA水溶液により銅配線の表面を処理する。この処理により、銅表面に防食材であるBTAが付着する。
【0034】
次に、処理した基板を成膜室に移動した後、成膜室を真空雰囲気にし、BTAを揮発させる。この処理を終了した時点で、Cu配線107表面には酸化銅薄膜が残存する。この酸化銅薄膜は、上述したシュウ酸処理後のリンス工程で形成されたものである。
【0035】
この酸化銅薄膜を介してシラン照射することにより、図5(d)に示すように、銅配線上部にシリコン固溶層106が形成される。シラン照射は、ここではモノシラン(SiH)を照射する方法を採用する。具体的には、プラズマCVD装置内において、SiHガス流量10〜500sccm、Nガス流量100〜1000sccm、処理圧力20Torr以下の条件とし、処理時間は各ガスの流量や処理室の圧力にも依存するが、たとえば150秒間以下とする。これにより、銅層上部にシリコン含有層を形成する。
【0036】
次に、図5(e)に示すように、シリコン固溶層106およびSiO絶縁膜102の上にCu拡散防止膜として膜厚50nmのSiCN膜109を成膜する。この成膜は、上記プラズマCVD装置内で、SiH(CH、NH、およびHeの反応ガスを用いたプラズマCVD法により行うことができる。
【0037】
その後、上記プラズマCVD装置により、膜厚500nmのSiO層間絶縁膜110を成膜する。以上により本実施形態に係る配線構造を得ることができる。
【0038】
本実施形態において、シリコン固溶層106の形成に際し、原料ガスとしてSiHを用いたが、SiやSiHやSiHClといった無機シランガスを用いることもできる。シラン照射は、Oを含まないガス雰囲気中で、処理温度200℃以上450℃以下、処理圧力20Torr以下として行うことができる。
【0039】
また、シリコン固溶層106にシリコンが拡散されているため、上層のCu拡散防止膜との密着性が良好となる。なお、Cu拡散防止膜との密着性をより向上させるために、Cu配線107中、シリコンが上部に偏在していることが好ましく、シリコン濃度が配線上部で最も高くなるようにすることが望ましい。
【0040】
シリコン固溶層106中のシリコン濃度は、0.1原子%以上、より好ましくは1原子%以上とすることが好ましい。こうすることにより、ストレスマイグレーション耐性およびエレクトロマイグレーション耐性の改善効果が一層顕著となる。また、シリコン濃度の上限については、シリコンが銅配線中に固溶し得る範囲とすることが必要であり、たとえば、9原子%以下、より好ましくは7原子%以下とする。こうすることにより、銅シリサイドとは異なる固溶体を安定的に得ることができる。シリコン固溶層106の厚みは、抵抗上昇を抑制する観点から、ある程度薄くすることが好ましい。たとえば、シリコン濃度0.1原子%以上の領域をシリコン固溶層とし、当該シリコン固溶層を、金属配線の高さの40%以下、より好ましくは20%以下とすることにより、配線抵抗やコンタクト抵抗と、ストレスマイグレーション耐性およびエレクトロマイグレーション耐性とのバランスに優れる配線構造を得ることができる。
【0041】
本実施の形態によれば、エレクトロマイグレーション耐性およびストレスマイグレーション耐性を大幅に改善した金属配線を備える半導体装置が得られる。
【0042】
第三の実施の形態
図6は本実施形態に係る半導体装置の構造を示す断面図である。この半導体装置は、下層配線255がビアプラグを介して上層配線260に接続された構成を有する。
【0043】
下層配線255は積層膜に形成された溝部に設けられている。この溝部は、半導体基板(不図示)上に成膜された下地絶縁膜201、SiCN膜202、梯子型の水素化シロキサンであるL−Ox(日本電気株式会社の商標)膜203およびSiO膜204からなる積層膜に形成されている。下層配線255の側面と底面はTa/TaN膜208に覆われている。L−Ox膜とは、ラダーオキサイドとよばれる梯子型の水素化シロキサンである。
【0044】
ここで、梯子型水素化シロキサンとは梯子型の分子構造を有するポリマーのことであり、配線遅延防止の観点から誘電率2.9以下のものが好ましく、また膜密度が低いものが好ましい。たとえば、膜密度が1.50g/cm以上1.58g/cm以下、633nmの屈折率が1.38以上1.40以下であることが好ましい。こうした膜材料の具体例としてL−Ox等を例示することができる。なお、L−Oxのポーラス化した絶縁材料を用いることもできる。
【0045】
ビアプラグは、SiO膜204上のSiCN膜211およびSiO膜212からなる積層膜に形成された孔部に設けられている。その孔部の側面と底面はTa/TaN膜220に覆われ、その中がシリコン含有銅で埋め込まれている。
【0046】
上層配線260は積層膜に形成された溝部に設けられている。その溝部は、SiO膜212、SiCN膜213、L−Ox膜216およびSiO膜217からなる積層膜に形成されている。上層配線260の側面はTa/TaN膜220に覆われ、上層配線260の上面にはSiCN膜222が形成されている。
【0047】
次に、本実施の形態に係る半導体装置の製造方法について説明する。
【0048】
図7〜図10は第三の実施の形態に係る半導体装置の製造工程を示す断面図である。なお、すでに述べた実施の形態と同様な工程については、その詳細な説明は省略する。
【0049】
本実施の形態に係る半導体装置の製造方法では、半導体素子を有する基板上に成膜された下地絶縁膜201上に、第1溝配線の溝部形成のためのエッチングストッパー膜としてSiCN膜202をプラズマCVD法により50nm成膜する。次に、第1の銅溝配線の層間絶縁膜として低誘電率層間絶縁膜であるL−Ox膜203を塗布法により300nm成膜し、400℃の焼成をN雰囲気で30分行う。次に、SiO膜204をプラズマCVD法により100nm成膜する。その後、SiO膜204とL−Ox膜203をドライエッチングして、第1溝配線パターン207を形成する。
【0050】
次に、ドライエッチングによりエッチングストッパー膜であるSiCN膜202のエッチバックを行い、下地半導体素子との導通面を開口し、エッチング残渣除去のためのウェット剥離を行い、第1溝配線パターン207を形成する。次に、バリアメタルとしてTa/TaN膜208を30nmスパッタリング法により成膜し、つづいて、Ta/TaN膜208の上にCu膜209を膜厚100nmでスパッタリング法により成膜する。その後、電解メッキ法によりCu膜209を700nm成膜し、第1溝配線パターン207を埋め込んでから、結晶化のためにN雰囲気で400℃、30分の熱処理を行う(図7(b))。
【0051】
次に、SiO膜204上のCu膜209およびTa/TaN膜208をCMPにより除去し、シュウ酸処理、純水リンスを経て、BTA溶液による表面処理を行う。これにより、Cu表面がBTA層で酸化防止処理された第1の銅溝配線が形成される(図7(c))。
【0052】
次に、第1の銅溝配線上のBTA層を熱分解により除去するため、プラズマCVD装置内で、処理温度200〜450℃、Nガス流量100〜1000sccm、処理圧力20Torr以下の条件で1分間程度、熱処理を行う。さらに、BTA層除去後、SiHガス流量10〜500sccm、Nガス流量5000sccm、処理圧力20Torr以下の条件で第1の銅溝配線に240秒間熱処理を行い、シリコン固溶層250を形成する(図7(d))。
【0053】
その後、Cu拡散防止膜となるSiCN膜211(膜厚50nm)、層間絶縁膜となるSiO膜212(膜厚400nm)、エッチングストッパー膜となるSiCN膜213(膜厚50nm)を順次成膜する。その上に第2溝配線の層間絶縁膜としてL−Ox膜216を300nm塗布・焼成し、その上にSiO膜217を100nm成膜する。つづいて反射防止膜225とフォトレジスト214を塗布し、フォトリソグラフィー技術を用いて、フォトレジストにビア用レジストパターン215を形成する(図8(e))。
【0054】
次に、ビア用レジストパターン215を用いてドライエッチングを行い、SiCN膜211の上部に到達する孔を形成する(図8(f))。その後、アッシングと剥離液処理により、フォトレジスト214、反射防止膜225およびレジスト残渣を除去する。
【0055】
次に、再び反射防止膜225を塗布・焼成し、その上にフォトレジスト218を塗布し、フォトリソグラフィー技術を用いて、フォトレジストに第2溝配線用レジストパターン219を形成する(図9(g))。
【0056】
つづいて、第2溝配線用レジストパターン219から、SiO膜217、L−Ox膜216、および反射防止膜225をエッチングストッパー膜となるSiCN膜213までエッチングする。その後、アッシングを行い、第2溝配線用のフォトレジスト218および反射防止膜225を除去し、エッチングによりビア底のSiCN膜211を除去する。次に、剥離液によりエッチング残渣を除去する(図9(h))。
【0057】
その後、電解メッキ法によりCu膜を700nm成膜した後、CMPを行い、図10(j)に示すように、上部配線およびビアプラグを構成する銅膜223を形成する。
【0058】
次に、第1の銅溝配線と同様にして、シュウ酸処理、純水リンスおよびBTAによる防食処理を行った後、BTA層を除去しSiHを照射する。これにより、銅膜223およびシリコン固溶層250からなる上層配線260を形成し(図10(j))、その後、Cu拡散防止膜としてSiCN膜222を膜厚50nm成膜し、配線構造を形成する(図10(k))。この際、シリコン濃度は、配線の表面で最も高く、底面方向に向かうにつれて低くなっている。
【0059】
第四の実施の形態
本実施形態は、シングルダマシン構造の二層銅配線に本発明を適用した例である。図11は本実施の形態に係る半導体装置の構造を示す断面図である。本実施の形態に係る半導体装置は、下層配線255がシリコン含有銅プラグ228を介して上層配線270に接続された構成を有している。
【0060】
下層配線255は積層膜に形成された溝部に設けられている。その溝部は、半導体基板(不図示)上に成膜された下地絶縁膜201、SiCN膜202、L−Ox膜203およびSiO膜204からなる積層膜に形成されている。下層配線255の側面と底面はTa/TaN膜208に覆われている。
【0061】
シリコン含有銅プラグ228は、SiO膜204上のSiCN膜211およびSiO膜212からなる積層膜に形成された孔部に設けられている。その孔部の側面と底面はTa/TaN膜226に覆われている。
【0062】
上層配線270は積層膜に形成された溝部に設けられている。その溝部は、SiCN膜213、L−Ox膜216およびSiO膜217からなる積層膜に形成されている。上層配線270の側面と底面はTa/TaN膜220に覆われ、上層配線270の上面にはSiCN膜222が形成されている。
【0063】
次に、本実施の形態に係る半導体装置の製造方法について説明する。
【0064】
図12〜図15は本実施形態に係る半導体装置の製造工程を示す断面図である。
【0065】
本実施形態では、まず第三の実施の形態と同様にして下層配線255まで形成する。この際、シリコン濃度は、配線表面で最も高く、底面方向に向かうにつれて低くなっている。
【0066】
次に、第三の実施の形態と同様にしてSiCN膜211、層間絶縁膜であるSiO膜212までを順に形成する(図12(a))。
【0067】
次に、成膜したSiO膜212上に反射防止膜225とフォトレジスト214を塗布し、フォトリソグラフィー技術を用いて、ビア用レジストパターン215を形成する(図12(b))。
【0068】
さらに、ドライエッチング技術によりビアレジストパターンからSiO膜212をエッチングして、ビア用パターンを形成する。その後、アッシングを行い、フォトレジスト214と反射防止膜225を除去する(図12(c))。次に、ビア底のSiCN膜211をエッチバックする。次に、剥離液によりエッチング残渣を除去する(図12(d))。
【0069】
その後、スパッタリング法により、膜厚30nmのTa/TaN膜226を成膜し、この上にシード用のCu膜(不図示)を形成した後、電解メッキ法によりCu膜227を700nm成膜し、ビアパターンに埋め込む。その後、結晶化のために400℃の熱処理を行う(図13(e))。
【0070】
次に、SiO膜212上のCu膜227およびTa/TaN膜226をCMPにより除去し、シュウ酸処理、純水リンス処理を経た後、BTA溶液による表面処理によりCu表面がBTA層で酸化防止処理された銅ビアプラグを形成する(図13(f))。
【0071】
次に、第3実施の形態で下層配線255を形成した際と同じ工程によりシリコン含有銅プラグ228を形成し、第2のCu拡散防止膜としてSiCN膜213を50nm成膜する(図13(g))。
【0072】
次に、第2の層間絶縁膜としてL−Ox膜216を300nm塗布・焼成し、その上にSiO膜217を100nm成膜する。次に、反射防止膜225とフォトレジスト218を塗布し、フォトリソグラフィー技術を用いて、フォトレジスト218に第2溝配線用レジストパターン219を形成する(図14(h))。
【0073】
次に、フォトレジスト218をマスクにして、第2溝配線の層間絶縁膜であるSiO膜217とL−Ox膜216をエッチングする。次に、アッシングによりフォトレジスト218と反射防止膜225を除去する。次に、全面エッチバックにより、第2のCu拡散防止膜のSiCN膜213を除去する。次に、剥離液によりエッチング残渣を除去する(図14(i))。
【0074】
その後、スパッタリング法により、Ta/TaN膜220を30nm成膜し、Ta/TaN膜220の上にシード用のCu膜(不図示)を100nm成膜する。次に、電解メッキ法によりCu膜221を700nm成膜し、次いでCMPにより、上部配線を形成する。その後、配線表面をシュウ酸水溶液を用いて洗浄し、銅表面の酸化層を除去し、純水リンスを行い、残存するシュウ酸や不純物を除去する。つづいて、BTA水溶液により銅配線の表面を処理する。この処理により、銅表面に防食材であるBTAが付着する図14(j))。
【0075】
次に、下層配線255およびシリコン含有銅プラグ228の形成工程と同様にして、BTA層を除去し、SiHを照射することにより上層配線270を形成し(図15(k))、Cu拡散防止膜としてSiCN膜222を膜厚50nmで成膜する(図15(l))。上層配線270中のシリコン濃度は、配線表面で最も高く、底面方向に向かうにつれて低くなっている。
【0076】
上述のように、本実施の形態にて形成された配線は、配線全体にシリコンを拡散させ、シリコン含有金属配線を形成することにより、最表面のみにシリサイド層を形成する場合よりも、金属配線の金属粒子の移動を抑制する効果が向上できる。
【0077】
さらに、本実施形態では、シングルダマシン構造を採用しているため、ビアと上層配線の間にバリアメタル膜が介在する構造となるため、ストレスマイグレーション耐性が向上する。
【0078】
以上、実施の形態に基づいて本発明を説明したが、これらは例示であり、その構成やプロセスを適宜変更することができる。
【0079】
たとえば、上記実施の形態において、層間絶縁膜にSiO膜を用いた実施の形態において、溝配線層間絶縁膜と同様にL−Ox膜とSiO膜の積層構造を用いてもよい。また、L−Ox膜のマスク絶縁膜としてSiO膜を用いているが、L−Oxとのエッチング選択性に優れ、アッシングおよびウェット剥離液に対する耐性が優れていれば、SiC膜、SiCN膜、およびSiOC膜などの絶縁膜を用いても良い。さらに、低誘電率層間絶縁膜としてL−Oxを用いているが、SiOF膜、SiOC膜、および有機膜などの、SiO膜より比誘電率が低い絶縁膜であればよい。
【0080】
上記実施の形態では銅配線を用いたが、配線中にAl、Ag(銀)、W(タングステン)、Mg(マグネシウム)、Be(ベリリウム)、Zn(亜鉛)、Pc(パラジウム)、Cd(カドミウム)、Au(金)、Hg(水銀)、Pt(白金)、Zr(ジルコニウム)、Ti(チタン)、Sn(スズ)、Ni(ニッケル)、Nd(ネオジウム)およびFe(鉄)といった異種元素のうち少なくとも一つと合金を形成した銅合金配線とすることもできる。
【0081】
また上記実施の形態ではバリアメタルにTa/TaN膜を用いたが、バリアメタルは、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNのうち少なくとも一つ有する構成とすることもできる。
【0082】
また、配線表面に酸化防止膜を形成するためにBTAを用いているが、他のアゾール系化合物等を用いることもできる。BTAより溶解度の高いBTA誘導体であってもよい。
【0083】
また、第四の実施の形態において、ビアプラグの表面にシリコン固溶層を形成してもよい。この場合、図13(f)の段階でビアの表面処理を適宜行い、その後、シラン照射する。これにより、ストレスマイグレーション耐性やエレクトロマイグレーション耐性をさらに向上させることができる。
【0084】
【実施例】
実施例1
シリコン基板上にメッキ法により銅膜を形成し、その後、アニール、シュウ酸処理、純水リンスおよびBTA(ベンゾトリアゾール)処理を行った。以上の処理を行った銅膜を複数用意し、その一つを試料1とする。
【0085】
さらに、真空雰囲気下、上記銅膜に対して350℃〜400℃に昇温してBTAを揮発させ、その後、モノシランを照射し、試料2を得た。シラン照射条件は、SiHガス流量10〜500sccm、Nガス流量100〜1000sccm、処理圧力20Torr以下、処理時間100秒間とした。
【0086】
また、真空雰囲気下、上記銅膜に対して350℃〜400℃に昇温してBTAを揮発させた後、アンモニアプラズマ処理を行った後、モノシランを照射し、試料3を得た。アンモニアプラズマ処理条件は、アンモニア50〜500sccm、RFパワー50W〜300W、処理時間5〜30secとした。モノシランの照射は、SiHガス流量10〜500sccm、処理時間100secとした。
【0087】
得られた試料について電子線回折分析を行った。図16〜図18は、試料1〜3に対応し、その格子定数等から、それぞれ、銅、シリコン含有銅(シリコン固溶体)および銅シリサイドであることが確認された。分析条件は以下のようにした。
【0088】
TEM観察:電子線加速電圧200kV
電子回折:電子線加速電圧200kV、電子線プローブ径 約3nm
EDX分析:電子線加速電圧200kV、STEM-EDX分析時電子線プローブ径 約1nm
図16〜図18の結果から、試料2は試料1(純銅)と同じ格子定数を有し同じ結晶構造をとることが明らかになった。また、試料3は、これらと異なる格子定数、結晶構造をとることが明らかになった。以下、結晶構造の解析結果を示す。
試料1、2
Cuおよびシリコン含有銅 立方晶(面心立方構造) a=3.6オングストローム
試料3
Cu5Si 立方晶(β-Mn型構造) a=6.2オングストローム
【0089】
実施例2
本実施例では、図19に示すような、下層のM1配線および上層のM2配線がビアで接続された2層銅配線構造を作製し、歩留試験を行った。配線構造は、以下の2点の試料を用意し評価した。
【0090】
(i)第二の実施の形態と同様の方法で作製したもの。下層のM1配線および上層のM2配線の表面を、実施例1の試料2と同様にして処理した。
【0091】
(ii)第二の実施の形態で説明したプロセスにおいて、シラン照射条件、シュウ酸処理およびその後のリンス工程等における条件を変更し、銅シリサイドを形成したもの。下層のM1配線および上層のM2配線の表面を、実施例1の試料3と同様にして処理した。
【0092】
これらの処理により得られた配線表面について、その結晶構造を電子線回折により確認したところ、試料(i)がシリコン固溶体、(ii)が銅シリサイドであることが確認された。
【0093】
この2層配線構造は、ビアチェーンとよばれるものであり、50万本のビアと、その上部および下部に設けられた配線とからなる。配線およびビアはいずれも銅からなる。ビアチェーンの端部2点に所定の電圧を印加することにより、これらの配線およびビアからなる配線の電気抵抗が測定される。これをチェーン抵抗とよぶ。チェーン抵抗は、ビアの接続状態の良否を判別するのに有効な手法である。本実施例では、シリコンウエハ上に設けられた各チップに上記ビアチェーンを形成し、各ビアチェーンの抵抗値を測定した。測定値が基準値以下の場合は合格、基準値を超える場合は不合格とした。全チップ数のうち合格したチップの占める割合をビア歩留りとした。
【0094】
評価結果を図20に示す。第二の実施の形態で記載した方法で作製した素子は、銅シリサイドを形成したものに比べ、歩留まりが向上した。
【0095】
実施例3
図21は二層配線によるビアチェーンの歩留まりの評価結果を示すグラフである。銅中にシリコンが固溶した(i)の試料は、シリサイド銅配線よりも良好な歩留まりを示すことが確認された。
【0096】
【発明の効果】
以上説明したように本発明によれば、エレクトロマイグレーション耐性あるいはストレスマイグレーション耐性を大幅に改善した金属配線を備える半導体装置を提供することができる。したがって、素子寿命の長い半導体装置を得ることができる。
【図面の簡単な説明】
【図1】ストレスマイグレーションの発生状況を説明するための図である。
【図2】実施の形態に係る配線構造を示す図である。
【図3】シリコン固溶層および銅シリサイドの結晶構造の相違を示す図である。
【図4】実施の形態における銅配線形成プロセスのフローチャートである。
【図5】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図6】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図7】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図8】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図9】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図10】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図11】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図12】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図13】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図14】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図15】実施の形態における銅配線形成プロセスを示す工程断面図である。
【図16】銅の電子回折図形である。
【図17】シリコン固溶層の電子回折図形である。
【図18】銅シリサイドの電子回折図形である。
【図19】実施例で用いた2層配線の構造を説明するための図である。
【図20】実施例におけるストレスマイグレーション耐性の評価結果を示す図である。
【図21】実施例におけるエレクトロマイグレーション耐性の評価結果を示す図である。
【符号の説明】
101 絶縁膜
102 絶縁膜
103 バリアメタル膜
104 シリコン低濃度領域
105 Ta/TaN膜
106 シリコン固溶層
107 銅配線
108 拡散防止膜
109 SiCN膜
110 層間絶縁膜
121a 下層配線
121b 上層配線
122 空洞
201 下地絶縁膜
202 SiCN膜
203 L−Ox膜
204 SiO
205 フォトレジスト
207 第1溝配線パターン
208 Ta/TaN膜
209 Cu膜
211 SiCN膜
212 SiO
213 SiCN膜
214 フォトレジスト
215 ビア用レジストパターン
216 L−Ox膜
217 SiO
218 フォトレジスト
219 第2溝配線用レジストパターン
220 Ta/TaN膜
221 Cu膜
222 SiCN膜
223 銅膜
225 反射防止膜
226 Ta/TaN膜
227 Cu膜
228 シリコン含有銅プラグ
250 シリコン固溶層
255 下層配線
260 上層配線
270 上層配線

Claims (16)

  1. 半導体基板と、該半導体基板上に形成された絶縁膜と、該絶縁膜中に埋設された金属配線とを備え、前記金属配線は、固溶原子を含むことを特徴とする半導体装置。
  2. 請求項1に記載の半導体装置において、
    前記金属配線を構成する金属が銅または銅含有合金であることを特徴とする半導体装置。
  3. 請求項1または2に記載の半導体装置において、
    前記固溶原子の原子半径が1.4オングストローム以下であることを特徴とする半導体装置。
  4. 請求項1乃至3いずれかに記載の半導体装置において、
    前記固溶原子はシリコンであることを特徴とする半導体装置。
  5. 請求項1乃至4いずれかに記載の半導体装置において、
    前記固溶原子は前記金属配線の上部に偏在していることを特徴とする半導体装置。
  6. 請求項1乃至5いずれかに記載の半導体装置において、
    前記固溶原子の導入された領域が、前記金属配線表面近傍において固溶層を形成していることを特徴とする半導体装置。
  7. 請求項6に記載の半導体装置において、
    前記固溶層における前記固溶原子の濃度は、0.1原子%以上9原子%以下であることを特徴とする半導体装置。
  8. 請求項6または7に記載の半導体装置において、
    前記金属配線中、前記固溶層を除く領域における前記固溶原子の濃度は、0.1原子%未満であることを特徴とする半導体装置。
  9. 請求項6乃至8いずれかに記載の半導体装置において、
    前記固溶層の厚みは、前記金属配線の厚みの40%以下であることを特徴とする半導体装置。
  10. 請求項1乃至9いずれかに記載の半導体装置において、
    前記金属配線の上部に、SiC、SiN、SiONまたはSiOCを含む膜をさらに備えることを特徴とする半導体装置。
  11. 半導体基板上に絶縁膜を形成する工程と、
    前記絶縁膜上に金属配線を形成する工程と、
    前記金属配線を構成する金属とは異なる原子を含むガスを前記金属配線に照射し、前記金属配線中に固溶原子を導入する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  12. 請求項11に記載の半導体装置の製造方法において、
    前記金属配線を形成する前記工程の後、前記金属配線の上部に前記金属配線を構成する金属の酸化層を形成する工程をさらに含み、その後、前記酸化層の表面に前記ガスを照射し、前記金属配線中に固溶原子を導入することを特徴とする半導体装置の製造方法。
  13. 請求項11または12に記載の半導体装置の製造方法において、
    前記酸化層を形成する前記工程は、前記金属配線の表面を有機酸により洗浄した後、純水によりリンスする工程を含むことを特徴とする半導体装置の製造方法。
  14. 請求項11乃至13いずれかに記載の半導体装置の製造方法において、
    前記金属配線中に前記固溶原子を導入した後、前記金属配線上にSiC、SiN、SiONまたはSiOCを含む膜を形成する工程をさらに含むことを特徴とする半導体装置の製造方法。
  15. 請求項11乃至14いずれかに記載の半導体装置の製造方法において、
    前記金属配線を構成する金属とは異なる前記原子は、シリコンであることを特徴とする半導体装置の製造方法。
  16. 請求項11乃至15いずれかに記載の半導体装置の製造方法において、
    前記金属配線を構成する金属は、銅または銅含有金属であることを特徴とする半導体装置の製造方法。
JP2002379278A 2002-12-27 2002-12-27 半導体装置の製造方法 Expired - Fee Related JP4647184B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002379278A JP4647184B2 (ja) 2002-12-27 2002-12-27 半導体装置の製造方法
US10/740,813 US7687918B2 (en) 2002-12-27 2003-12-22 Semiconductor device and method for manufacturing same
TW092136860A TWI298510B (en) 2002-12-27 2003-12-25 Semiconductor device and method for manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002379278A JP4647184B2 (ja) 2002-12-27 2002-12-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2004214267A true JP2004214267A (ja) 2004-07-29
JP4647184B2 JP4647184B2 (ja) 2011-03-09

Family

ID=32677451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002379278A Expired - Fee Related JP4647184B2 (ja) 2002-12-27 2002-12-27 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US7687918B2 (ja)
JP (1) JP4647184B2 (ja)
TW (1) TWI298510B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006310585A (ja) * 2005-04-28 2006-11-09 Yamaha Corp 磁気センサおよびその製造方法
JP2007189061A (ja) * 2006-01-13 2007-07-26 Renesas Technology Corp 半導体装置および半導体装置の製造方法
JP2007235125A (ja) * 2006-02-06 2007-09-13 Nec Electronics Corp 半導体装置およびその製造方法
JP2009278000A (ja) * 2008-05-16 2009-11-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2010034517A (ja) * 2008-07-24 2010-02-12 Tokyo Electron Ltd 半導体装置および半導体装置の製造方法
US8178361B2 (en) 2005-03-17 2012-05-15 Yamaha Corporation Magnetic sensor and manufacturing method therefor
US8344509B2 (en) 2009-01-19 2013-01-01 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060043082A (ko) * 2004-02-24 2006-05-15 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조방법
US20060105558A1 (en) * 2004-11-18 2006-05-18 Harry Chuang Inter-metal dielectric scheme for semiconductors
JP2006165115A (ja) * 2004-12-03 2006-06-22 Toshiba Corp 半導体装置
JP4701017B2 (ja) * 2005-06-21 2011-06-15 パナソニック株式会社 半導体装置の製造方法及び半導体装置
DE102005035740A1 (de) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
DE102005057057B4 (de) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
KR100679822B1 (ko) * 2005-12-14 2007-02-06 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
US8143157B2 (en) * 2006-11-29 2012-03-27 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
DE102006056624B4 (de) * 2006-11-30 2012-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
JP2009088267A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜方法、成膜装置、記憶媒体及び半導体装置
US8753978B2 (en) * 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
WO2013125449A1 (ja) * 2012-02-22 2013-08-29 東京エレクトロン株式会社 半導体装置の製造方法、記憶媒体及び半導体装置
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10153351B2 (en) 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11362035B2 (en) * 2020-03-10 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer for conductive via to decrease contact resistance

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186273A (ja) * 1997-12-19 1999-07-09 Ricoh Co Ltd 半導体装置及びその製造方法
JPH11330023A (ja) * 1998-05-20 1999-11-30 Nec Corp ウエハの洗浄方法
JP2000031089A (ja) * 1998-07-13 2000-01-28 Nec Corp 半導体装置の製造方法
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69637333T2 (de) * 1995-06-27 2008-10-02 International Business Machines Corp. Kupferlegierungen für Chipverbindungen und Herstellungsverfahren
JP2809196B2 (ja) 1996-05-30 1998-10-08 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) * 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
JP3040745B2 (ja) 1998-01-12 2000-05-15 松下電子工業株式会社 半導体装置及びその製造方法
US6437421B1 (en) * 1999-12-03 2002-08-20 Legerity, Inc. Self-aligned dual-base semiconductor process and structure incorporating multiple bipolar device types
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6656834B1 (en) * 2001-06-20 2003-12-02 Advanced Micro Devices, Inc. Method of selectively alloying interconnect regions by deposition process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186273A (ja) * 1997-12-19 1999-07-09 Ricoh Co Ltd 半導体装置及びその製造方法
JPH11330023A (ja) * 1998-05-20 1999-11-30 Nec Corp ウエハの洗浄方法
JP2000031089A (ja) * 1998-07-13 2000-01-28 Nec Corp 半導体装置の製造方法
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8178361B2 (en) 2005-03-17 2012-05-15 Yamaha Corporation Magnetic sensor and manufacturing method therefor
US9054028B2 (en) 2005-03-17 2015-06-09 Yamaha Corporation Magnetic sensor and manufacturing method therefor
JP2006310585A (ja) * 2005-04-28 2006-11-09 Yamaha Corp 磁気センサおよびその製造方法
JP2007189061A (ja) * 2006-01-13 2007-07-26 Renesas Technology Corp 半導体装置および半導体装置の製造方法
JP2007235125A (ja) * 2006-02-06 2007-09-13 Nec Electronics Corp 半導体装置およびその製造方法
JP2009278000A (ja) * 2008-05-16 2009-11-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2010034517A (ja) * 2008-07-24 2010-02-12 Tokyo Electron Ltd 半導体装置および半導体装置の製造方法
US8344509B2 (en) 2009-01-19 2013-01-01 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device
US8536706B2 (en) 2009-01-19 2013-09-17 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device

Also Published As

Publication number Publication date
TW200416800A (en) 2004-09-01
JP4647184B2 (ja) 2011-03-09
US20040130030A1 (en) 2004-07-08
TWI298510B (en) 2008-07-01
US7687918B2 (en) 2010-03-30

Similar Documents

Publication Publication Date Title
JP4647184B2 (ja) 半導体装置の製造方法
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
US7851924B2 (en) Method of manufacturing semiconductor device, and semiconductor device
JP4555540B2 (ja) 半導体装置
US10854508B2 (en) Interconnection structure and manufacturing method thereof
US20060163746A1 (en) Barrier structure for semiconductor devices
KR102064571B1 (ko) 반도체 디바이스용 인터커넥트 구조
JP3500564B2 (ja) 半導体装置の製造方法
JP2007251164A (ja) 相互接続構造体、半導体構造体および相互接続構造体の形成方法(相互接続用途のための耐酸化性シード層の形成)
EP2139037A1 (en) Interconnect structure for electromigration enhancement
WO2006078815A2 (en) Single mask mim capacitor and resistor with in trench copper drift barrier
US10541199B2 (en) BEOL integration with advanced interconnects
JP2005340808A (ja) 半導体装置のバリア構造
JP4152202B2 (ja) 半導体装置の製造方法
JP2004193544A (ja) 半導体装置、および半導体装置の製造方法
US8390135B2 (en) Semiconductor device
US7425502B2 (en) Minimizing resist poisoning in the manufacture of semiconductor devices
JP4492919B2 (ja) 半導体装置の製造方法
JP2006073569A (ja) 半導体装置とその製造方法
TW413895B (en) Method for improving stability of copper processing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051102

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071030

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080205

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20080306

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080306

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080407

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080411

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080509

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20100426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101208

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees