JP2002533911A5 - - Google Patents

Download PDF

Info

Publication number
JP2002533911A5
JP2002533911A5 JP2000572891A JP2000572891A JP2002533911A5 JP 2002533911 A5 JP2002533911 A5 JP 2002533911A5 JP 2000572891 A JP2000572891 A JP 2000572891A JP 2000572891 A JP2000572891 A JP 2000572891A JP 2002533911 A5 JP2002533911 A5 JP 2002533911A5
Authority
JP
Japan
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000572891A
Other languages
Japanese (ja)
Other versions
JP4612190B2 (ja
JP2002533911A (ja
Filing date
Publication date
Priority claimed from US09/161,074 external-priority patent/US6129808A/en
Application filed filed Critical
Publication of JP2002533911A publication Critical patent/JP2002533911A/ja
Publication of JP2002533911A5 publication Critical patent/JP2002533911A5/ja
Application granted granted Critical
Publication of JP4612190B2 publication Critical patent/JP4612190B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

JP2000572891A 1998-09-25 1999-09-24 低汚染高密度プラズマ・エッチング・チャンバおよびその製造方法 Expired - Fee Related JP4612190B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/161,074 US6129808A (en) 1998-03-31 1998-09-25 Low contamination high density plasma etch chambers and methods for making the same
US09/161,074 1998-09-25
PCT/US1999/020890 WO2000019481A2 (en) 1998-09-25 1999-09-24 Low contamination high density plasma processing chamber and methods for processing a semiconductor substrate

Publications (3)

Publication Number Publication Date
JP2002533911A JP2002533911A (ja) 2002-10-08
JP2002533911A5 true JP2002533911A5 (US07585860-20090908-C00083.png) 2006-11-09
JP4612190B2 JP4612190B2 (ja) 2011-01-12

Family

ID=22579708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000572891A Expired - Fee Related JP4612190B2 (ja) 1998-09-25 1999-09-24 低汚染高密度プラズマ・エッチング・チャンバおよびその製造方法

Country Status (10)

Country Link
US (3) US6129808A (US07585860-20090908-C00083.png)
EP (1) EP1145273B1 (US07585860-20090908-C00083.png)
JP (1) JP4612190B2 (US07585860-20090908-C00083.png)
KR (1) KR100566908B1 (US07585860-20090908-C00083.png)
CN (1) CN1328755C (US07585860-20090908-C00083.png)
AU (1) AU1440100A (US07585860-20090908-C00083.png)
DE (1) DE69928289T2 (US07585860-20090908-C00083.png)
RU (1) RU2237314C2 (US07585860-20090908-C00083.png)
TW (1) TW460972B (US07585860-20090908-C00083.png)
WO (1) WO2000019481A2 (US07585860-20090908-C00083.png)

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2003533010A (ja) * 1999-09-30 2003-11-05 ラム リサーチ コーポレーション 前処理を行なったガス整流板
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
EP1313890B1 (en) * 2000-04-06 2006-10-11 ASM America, Inc. Barrier coating for vitreous materials
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
WO2002068710A1 (de) * 2001-02-26 2002-09-06 Unaxis Balzers Aktiengesellschaft Verfahren zur herstellung von teilen und vakuumbehandlungssystem
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
EP1274113A1 (en) * 2001-07-03 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Arrangement and method for detecting sidewall flaking in a plasma chamber
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US8703249B2 (en) * 2002-04-17 2014-04-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
US20040256215A1 (en) * 2003-04-14 2004-12-23 David Stebbins Sputtering chamber liner
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US20040206213A1 (en) * 2003-04-18 2004-10-21 Chih-Ching Hsien Wrench having a holding structure
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US8460945B2 (en) * 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP3962722B2 (ja) * 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP4426342B2 (ja) 2004-03-08 2010-03-03 株式会社日立ハイテクノロジーズ 真空処理装置
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
JP5179175B2 (ja) * 2004-07-09 2013-04-10 エナジェティック・テクノロジー・インコーポレーテッド 誘導駆動プラズマ光源
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100737311B1 (ko) 2005-01-19 2007-07-09 삼성전자주식회사 반도체 제조장치
CN101558666B (zh) * 2005-03-02 2012-07-18 罗姆韦尔有限公司 对于出境漫游用户的csi的动态生成
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
WO2007016592A2 (en) * 2005-07-29 2007-02-08 Aviza Technology, Inc. Gas manifold valve cluster
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
CN100369192C (zh) * 2005-12-26 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
WO2007129622A1 (ja) * 2006-05-09 2007-11-15 Ulvac, Inc. 薄膜製造装置および薄膜製造装置用インナーブロック
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US7942112B2 (en) * 2006-12-04 2011-05-17 Advanced Energy Industries, Inc. Method and apparatus for preventing the formation of a plasma-inhibiting substance
KR100847890B1 (ko) * 2006-12-13 2008-07-23 세메스 주식회사 챔버 라이너를 포함하는 밀폐형 반도체 공정 시스템 및그것을 이용한 웨이퍼 가공 방법
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
WO2008134446A1 (en) * 2007-04-27 2008-11-06 Applied Materials, Inc. Annular baffle
WO2008143088A1 (ja) * 2007-05-18 2008-11-27 Ulvac, Inc. プラズマ処理装置及び防着部材の製造方法
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
KR100995700B1 (ko) * 2008-07-14 2010-11-22 한국전기연구원 3차원 표면형상을 갖는 원통형 가공물을 위한 유도 결합형플라즈마 공정 챔버 및 방법
CN101656194B (zh) * 2008-08-21 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子腔室及其温度控制方法
KR20160064251A (ko) * 2008-10-07 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 에칭된 기판으로부터 할로겐 잔류물들의 효율적인 제거 장치
CN102177769B (zh) * 2008-10-09 2016-02-03 应用材料公司 大等离子体处理室所用的射频回流路径
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9337004B2 (en) * 2009-04-06 2016-05-10 Lam Research Corporation Grounded confinement ring having large surface area
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
WO2011114940A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜装置
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
TWI503907B (zh) * 2010-04-14 2015-10-11 Wonik Ips Co Ltd 基板處理設備
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US9508530B2 (en) * 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
SG11201402058TA (en) * 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
CN103177954B (zh) * 2011-12-26 2015-12-16 中芯国际集成电路制造(上海)有限公司 使用温度可控的限制环的刻蚀装置
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9745663B2 (en) 2012-07-20 2017-08-29 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
WO2015084487A1 (en) * 2013-12-06 2015-06-11 Applied Materials, Inc. Apparatus for self centering preheat member
JP6230900B2 (ja) * 2013-12-19 2017-11-15 東京エレクトロン株式会社 基板処理装置
TWI564929B (zh) * 2014-07-24 2017-01-01 科閎電子股份有限公司 用於電漿反應裝置之襯套單元
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
CN106711006B (zh) * 2015-11-13 2019-07-05 北京北方华创微电子装备有限公司 上电极组件及半导体加工设备
KR102151631B1 (ko) * 2016-01-22 2020-09-03 세메스 주식회사 기판 처리 장치 및 방법
US10763082B2 (en) * 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system
CN109072427B (zh) 2016-03-25 2020-10-13 应用材料公司 用于高温处理的腔室衬垫
CN109196619B (zh) * 2016-06-03 2021-10-26 瑞士艾发科技 等离子体蚀刻室和等离子体蚀刻的方法
US10886113B2 (en) 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
JP2020514237A (ja) * 2017-03-21 2020-05-21 コンポーネント リ−エンジニアリング カンパニー インコーポレイテッド 高い腐食性又は浸食性産業用途に使用するためのセラミック材料アセンブリ
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
JP6965313B2 (ja) * 2018-08-13 2021-11-10 エスケーシー ソルミックス カンパニー,リミテッド エッチング装置用リング状部品及びこれを用いた基板のエッチング方法
CN112805805A (zh) * 2018-10-05 2021-05-14 朗姆研究公司 等离子体处理室
CN110012928A (zh) * 2019-04-24 2019-07-16 四川长虹电器股份有限公司 一种可移动平行板电容器解冻腔体及射频解冻装置
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
USD913979S1 (en) 2019-08-28 2021-03-23 Applied Materials, Inc. Inner shield for a substrate processing chamber
TWI800756B (zh) * 2019-11-13 2023-05-01 大陸商中微半導體設備(上海)股份有限公司 帶溫度維持裝置的隔離環及電漿處理裝置
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
KR102549935B1 (ko) * 2021-04-28 2023-06-30 주식회사 월덱스 플라즈마 에칭장치용 다체형 한정 링
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
CN114360999B (zh) * 2021-12-30 2023-06-27 武汉华星光电半导体显示技术有限公司 等离子处理设备

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4399546A (en) * 1979-09-28 1983-08-16 Dresser Industries, Inc. Silicon carbide furnace
EP0063273B1 (en) * 1981-04-02 1986-02-12 The Perkin-Elmer Corporation Discharge system for plasma processing
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
JPH0662344B2 (ja) * 1988-06-03 1994-08-17 株式会社日立製作所 セラミツクスと金属の接合体
JPH0814633B2 (ja) * 1989-05-24 1996-02-14 株式会社日立製作所 核融合炉
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
EP0624896B1 (en) * 1993-05-13 1999-09-22 Applied Materials, Inc. Contamination control in plasma contouring the plasma sheath using materials of differing rf impedances
JP3181473B2 (ja) * 1993-08-19 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JPH07273086A (ja) * 1994-03-30 1995-10-20 Sumitomo Metal Ind Ltd プラズマ処理装置及び該装置を用いたプラズマ処理方法
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JPH09246238A (ja) * 1996-03-01 1997-09-19 Nippon Eng Kk プラズマエッチング用平板状基台およびその製造方法
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10130872A (ja) * 1996-10-29 1998-05-19 Sumitomo Metal Ind Ltd プラズマ処理方法
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing

Similar Documents

Publication Publication Date Title
BE2018C020I2 (US07585860-20090908-C00083.png)
BE2015C057I2 (US07585860-20090908-C00083.png)
BE2016C007I2 (US07585860-20090908-C00083.png)
BE2015C018I2 (US07585860-20090908-C00083.png)
BE2014C017I2 (US07585860-20090908-C00083.png)
BE2013C051I2 (US07585860-20090908-C00083.png)
BE2013C020I2 (US07585860-20090908-C00083.png)
BE2013C015I2 (US07585860-20090908-C00083.png)
BE2013C001I2 (US07585860-20090908-C00083.png)
BE2012C036I2 (US07585860-20090908-C00083.png)
BE2011C004I2 (US07585860-20090908-C00083.png)
BE2010C011I2 (US07585860-20090908-C00083.png)
JP2002516697A5 (US07585860-20090908-C00083.png)
BE2008C046I2 (US07585860-20090908-C00083.png)
BE1025464I2 (US07585860-20090908-C00083.png)
JP2002533911A5 (US07585860-20090908-C00083.png)
JP2002532919A5 (US07585860-20090908-C00083.png)
JP2002510165A5 (US07585860-20090908-C00083.png)
BRPI0017527B8 (US07585860-20090908-C00083.png)
JP2002513209A5 (US07585860-20090908-C00083.png)
BE2008C047I2 (US07585860-20090908-C00083.png)
JP2002502672A5 (US07585860-20090908-C00083.png)
JP2002519265A5 (US07585860-20090908-C00083.png)
JP2002516968A5 (US07585860-20090908-C00083.png)
JP2002535691A5 (US07585860-20090908-C00083.png)