JP2002016140A - エッチストップ層を具備した集積回路における金属被覆部およびコンタクト構造の製造方法 - Google Patents

エッチストップ層を具備した集積回路における金属被覆部およびコンタクト構造の製造方法

Info

Publication number
JP2002016140A
JP2002016140A JP2001181510A JP2001181510A JP2002016140A JP 2002016140 A JP2002016140 A JP 2002016140A JP 2001181510 A JP2001181510 A JP 2001181510A JP 2001181510 A JP2001181510 A JP 2001181510A JP 2002016140 A JP2002016140 A JP 2002016140A
Authority
JP
Japan
Prior art keywords
layer
trench
dielectric layer
etching
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001181510A
Other languages
English (en)
Other versions
JP3730539B2 (ja
Inventor
Alain Blosse
アライン・ブロッセ
Sanjay Thedki
サンジャイ・セドキ
Jianmin Qiao
ジャンミン・キアオ
Yitzhak Gilboa
イザック・ギルボア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cypress Semiconductor Corp
Original Assignee
Cypress Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cypress Semiconductor Corp filed Critical Cypress Semiconductor Corp
Publication of JP2002016140A publication Critical patent/JP2002016140A/ja
Application granted granted Critical
Publication of JP3730539B2 publication Critical patent/JP3730539B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】 本発明は、エッチストップ層を備えた集積回
路の半導体相互接続部を効率よく製造する方法を提供す
ることを目的とする。 【構成】 半導体基体と、ゲート構造と、それに隣接す
る誘電体スペーサと、それらの層上のコンタクト誘電体
層1 と、コンタクト誘電体層1 上のエッチストップ層14
と、このエッチストップ層14上のトレンチ誘電体層4 と
を備えている複合構造のトレンチ誘電体層4 をエッチス
トップ層14を実質上エッチングしないエッチング条件下
でエッチングしてトレンチを形成し、エッチングにより
エッチストップ層14とコンタクト誘電体層1 に開口を形
成し、この開口とトレンチ中に導電性材料11を付着する
ことを特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は“デュアルダマス
ク”状処理を使用して集積回路に金属被覆部およびコン
タクト構造を形成する方法に関する。
【0002】
【従来の技術】集積回路の処理中、半導体装置のアクテ
ィブ領域との間に電気接続が必要である。
【0003】1つの処理方法は自己整列コンタクト(S
AC)技術の使用を含んでおり、この処理方法では誘電
体材料を通って半導体装置のアクティブ領域へ開口が形
成され、ここでアクティブ領域に隣接するゲート構造は
包囲される誘電体材料よりも低いエッチング速度を有す
る材料でカプセル化されることによりコンタクト開口エ
ッチングステップ中保護される。この方法で、ゲート構
造に対する損傷を最少にしながら機能的回路により消費
される領域全体を減少させることができるが、この方法
はコンタクト孔と下に位置する導電領域との整列におけ
る小さいエラーから生じる。
【0004】このような開口が形成された後、これは自
己整列コンタクトを形成するために導電材料で充填され
平坦化される。2以上のSACが、金属層をパターン化
することにより形成される局部トレンチにより電気的に
接続されてもよく、それによって、金属層は電気的にS
ACを接続し、その後、誘電材料を付着し随意的に平坦
化される。
【0005】ダマスク金属被覆層は前述のパターン化さ
れた金属層に代るものである。“ダマスク”金属層は、
トレンチまたはトラフが誘電体材料層に形成され、その
後トレンチが導電材料で充填される金属層である。ダマ
スクプロセスは半導体処理でさらに広く使用されるよう
になっている。
【0006】ダマスク金属被覆により形成されたSAC
と相互接続部との間のインターフェイスで観察された問
題は、“デュアルダマスク”プロセスを発生し、それに
おいてはチャンネルがトレンチ誘電体中に形成され、開
口が下に位置するコンタクト誘電体中に形成され、その
両者はその後金属で充填される。この技術はコンタクト
および相互接続部を同時に形成する利点を与え、これは
処理ステップを減少させ、コンタクトおよび相互接続部
構造との間にさらに高い導電性のインターフェイスを生
じる。
【0007】米国特許第5,861,676 号明細書(Yen )は
半導体または集積回路の素子間にコンタクトおよび相互
接続部を形成する方法について報告している。
【0008】米国特許第5,795,823 号明細書(Avanzin
o)は1つのみのマスクパターンによるデュアルダマス
クを使用した導電性ラインと接続バイア孔の製造につい
て報告している。これはまた米国特許第5,614,765 号明
細書(Avanzino)によっても報告されている。
【0009】米国特許第5,877,076 号明細書(Dai )で
は、反対のタイプの2層化されたフォトレジストを使用
したデュアルダマスクプロセスについて報告している。
【0010】米国特許第5,876,075 号明細書(Dai )で
は、単一のフォトレジストプロセスを使用した方法をデ
ュアルダマスクパターンを形成することについて報告し
ている。
【0011】米国特許第5,882,996 号明細書(Dai )で
は、現像剤可溶性ARC侵入型層を使用したデュアルダ
マスク相互接続部をパターン化する方法について開示し
ている。
【0012】米国特許第5,635,423 号明細書(Huang )
では、トレンチ誘電体の最初の開口が拡大され、同時に
エッチストップ層を通るバイア開口とバイア誘電体とを
通って延在する変形したデュアルダマスクプロセスにつ
いて報告している。
【0013】米国特許第326,432 号明細書(QiaoとNult
y 、1999年6月4日)では、自己整列コンタクトを製造
する方法および構造について報告している。
【0014】BlosseのIEEE 1999 International Interc
onnect Technology Conference、215 −217 頁では、P
VDを使用したアルミニウム相互接続部の形成における
端ぐりのデュアルダマスクと自己整列されたデュアルダ
マスクとの比較について報告している。
【0015】
【発明が解決しようとする課題】コンタクトと相互接続
部を形成する既知の技術にかかわらず、装置密度の増
加、処理の効率の増加に対する要求により、効率よく半
導体相互接続部を製造する新たな努力に拍車がかけられ
ている。
【0016】
【課題を解決するための手段】本発明の1実施形態は、
デュアルダマスクプロセスにより相互接続部および自己
整列されたコンタクト構造を処理する方法を含んでい
る。
【0017】本発明の別の実施形態は、ゲート構造によ
り制御される半導体装置のアクティブ領域に金属被覆部
および自己整列されたコンタクト構造を形成するデュア
ルダマスク方法を含んでいる。
【0018】本発明の別の実施形態は、ゲート構造によ
り制御される半導体装置のアクティブ領域に金属被覆部
および自己整列されたコンタクト構造を形成するデュア
ルダマスク方法を含んでおり、ここでゲートはコンタク
ト孔のエッチング期間中保護される。
【0019】本発明の別の実施形態は、半導体装置のア
クティブ領域に金属被覆部およびコンタクト構造を形成
するデュアルダマスク方法を含んでおり、コンタクト誘
電体層のエッチングは上に位置するエッチストップ層に
より実効的に保護される。
【0020】本発明の別の実施形態は、半導体装置のア
クティブ領域に金属被覆部およびコンタクト構造を形成
するデュアルダマスク方法を含んでおり、ここでゲート
はコンタクト孔のエッチング中保護され、コンタクト誘
電体層を通るコンタクト孔のエッチングはパターン化さ
れたフォトレジストなしで行われることができる。
【0021】本発明のこれらおよび他の実施形態はトレ
ンチおよびコンタクト誘電体層のエッチングが自己整列
されたコンタクト技術を使用して行われるデュアルダマ
スク方法により可能にされる。
【0022】
【発明の実施の形態】本発明のさらに完全な認識および
その多数の付随する利点は、添付図面と関連して考慮し
た以下の詳細な説明を参照することによって良好に理解
されるであろう。本発明の1文脈内では、アクティブ領
域と誘電体層とを構成しているマルチレベルの基体はト
レンチとコンタクト孔の両者を形成するためにエッチン
グされ、ここではコンタクト孔マスクの整列はSAC技
術を使用して処理許容範囲を与えられる。
【0023】本発明にしたがって処理されるマルチレベ
ルの基体は当業者に知られている一般的な方法にしたが
って処理されることができる。アクティブ領域、ゲート
構造、誘電体層を具備する適切な基体は当業者に知られ
ている一般的な方法により処理されることができる。
【0024】開口が形成されてもよいアクティブ領域の
限定ではない例はシリコン、ゲルマニウムまたはGaA
s基体(一般的なNドープ剤[窒素、燐、砒素、アンチ
モン、ビスマス、テルル、硫黄、その混合物等]または
Pドープ剤[B、Al、Ga、In、その混合物等]で
低濃度、高濃度および/または非常に高濃度にドープさ
れている)のソースおよびドレイン領域と、ケイ化物ソ
ースおよびドレイン領域と、金属被覆または導電(金
属)相互接続構造と、フィールド酸化物領域と、ゲート
およびまたは(基体の上の導電材料の第1の機能層中に
位置する[ドープされた]ポリシリコンおよび/または
一般的な金属ケイ化物で構成されてもよい)ワードライ
ン構造等を含んでいる。
【0025】適切なゲート構造は当業者に知られている
構造を含んでおり、これらは例えばMOS構造、(例え
ば非揮発性トランジスタ用の)フローティングゲート/
制御ゲート構造、SONOSトランジスタ等を含んでい
る。
【0026】コンタクト誘電体を付着する前に、コンタ
クト孔をエッチングするときゲートまたは金属被覆構造
のエッチングを防止または禁止し、(ソース/ドレイ
ン)ウェルのインプラント中に通常の低濃度でドープさ
れたソース/ドレイン構造を保護するスペーサを形成す
る。適切なスペーサはLPCVDまたはPECVDによ
り誘電体スペーサ材料を付着し、それに続いてスペーサ
を形成するように誘電体スペーサ材料を異方性エッチン
グする等、当業者に知られている一般的な方法によって
形成される。適切な誘電体スペーサ材料は当業者により
選択されてもよく、包囲するコンタクト誘電体材料に関
して低速度のエッチングを与えることができる。例え
ば、1つの適切なスペーサ材料は(例えばコンタクト誘
電体が酸化物で構成されるとき)窒化シリコン等の窒化
物、または(例えばコンタクト誘電体が窒化物または第
2の組成的に異なる酸化物で構成されるとき)酸化シリ
コンで構成される。典型的に、スペーサ層はベースで測
定するとき約100乃至約1,500オングストロー
ム、通常は約500乃至約800オングストロームの幅
を有する。
【0027】本発明の文脈内では、ゲート構造の実質的
なエッチングを防止するのに十分にコンタクト開口をエ
ッチングする条件下で、コンタクト誘電体材料のエッチ
ング速度がゲート構造のエッチング速度とは異なること
が望ましい。しかしながら、コンタクト誘電体層とゲー
ト構造とのエッチング速度の差を増加するように窒化シ
リコン等の保護層をゲート構造に形成することは本発明
の技術的範囲内に含まれる。適切な材料の形成および選
択は当業者のレベル内のものであり、一般的なSAC技
術に基づいている。
【0028】適切なコンタクト誘電体材料は当業者に知
られている一般的な方法によりゲート構造を覆って付着
され(および選択的に平坦化される)。適切なコンタク
ト誘電体材料は、コンタクト誘電体のエッチング速度
が、誘電体スペーサ材料の実質的なエッチングされない
(および/またはコンタクト孔エッチングプロセスから
ゲート12を確実に保護する)コンタクト誘電体材料の比
較的完全なエッチングを許容するようにコンタクト誘電
体をエッチングすることに使用される状態下では、ゲー
ト構造を包囲する誘電体スペーサ材料のエッチング速度
よりも十分に大きくなるように当業者により選択される
ことができる。例えばコンタクト誘電体層は二酸化シリ
コン、またはフルオロケイ酸塩ガラス(FSG)、硼ケ
イ酸塩ガラス(BSG)、燐酸塩ケイ酸塩ガラス(PS
G)および/または硼燐酸塩ケイ酸塩ガラス(BPS
G)のようなドープされたケイ酸塩ガラスのような誘電
体材料の1以上の層を具備している。コンタクト誘電体
材料は付着後の高密度化および/または平坦化のリフロ
ーステップを受けてもよい。さらに、コンタクト誘電体
材料は例えば等方性エッチング、アニールまたは化学機
械的研磨(CMP)のような、当業者に知られているプ
ロセスによってさらに平坦化されてもよい。
【0029】コンタクト誘電体層のさらに別の例は、一
般的な酸化物、窒化物、オキシニトライド(oxynitrid
e)、スピンオンガラス(SOG)のような他の誘電
体、Pドープされた酸化シリコン(Pガラス)、窒化シ
リコン(Six y )、(例えば(x/2)+(3y/
4)=aであるような一般公式Sia x y の)シリ
コンオキシニトライド、Al2 3 ,窒化アルミニウム
(例えばAlN)のような窒化金属、V2 5 、テトラ
エチルオルソケイ酸ベースの酸化物、チタニウム酸化
物、(例えば(2x/3)+y=bのような一般式Ab
x y の)アルミニウムオキシニトライド、(例えば
x=2a+3b/2、y=4a/3+bである一般式
[Sia Alb x y ]の)そのアルミノケイ酸塩、
硼素および/または3価燐ドープされたアルミン酸塩、
アルミノケイ酸塩を含んでいる。好ましくはコンタクト
誘電体材料はP原子とSi原子の合計に関して1−15
%、好ましくは3−12%、さらに好ましくは5−11
%のP原子%を含んでいるPSG層を具備する。
【0030】コンタクト誘電体層の最終的な厚さは特に
限定されないが、好ましくは約0.3乃至3.0μm、
さらに好ましくは0.4乃至2.0μm、さらに好まし
くは0.5乃至1.0μmの範囲内である。0.18μ
mゲート幅技術の典型値は0.6μmである。コンタク
ト誘電体層は単一の誘電体材料、あるいは同一または異
なる誘電体材料の多数の層で構成されてもよい。
【0031】コンタクト誘電体層の上には窒化シリコ
ン、シリコンオキシニトライド、シリコンオキシボロニ
トライド、またはTEOS上に位置する窒化シリコンの
2分子層等のエッチストップ層が存在し、これはトレン
チ誘電体材料のエッチング条件下では上に位置するトレ
ンチ誘電体材料よりも実質上エッチング速度が低速度で
ある。この文脈ではトレンチ誘電体材料とエッチストッ
プ誘電体材料とのエッチング速度の差は8:1以上、好
ましくは12:1以上、さらに好ましくは15:1以上
である。適切なエッチストップ層は当業者に知られた一
般的な方法により形成されることができる。エッチスト
ップ層は典型的に、約100乃至約1,000オングス
トローム、好ましくは約100乃至約800オングスト
ローム、さらに好ましくは約100乃至約500オング
ストロームの厚さを有する。エッチストップ層がTEO
Sの上に位置する窒化シリコンの2層構造であるとき、
2層の各層は約400乃至約800オングストローム、
好ましくは約500乃至約700オングストロームの厚
さを独立して有する。
【0032】エッチストップ層の上にはそこに形成され
る相互接続構造と同じ(または随意的にそれよりも大き
い)厚さのトレンチ誘電体層が存在する。エッチストッ
プ層がトレンチ誘電体層とコンタクト誘電体層との間に
配置されているので、下に位置するコンタクト誘電体材
料のエッチングに関してトレンチ誘電体材料の選択的な
エッチングを選ぶ必要はない。しかしながら、トレンチ
誘電体層のエッチング条件はエッチストップ材料に関す
るトレンチ誘電体材料に対して選択的でなければならな
い。
【0033】トレンチ誘電体材料の例としては、一般的
な酸化物、窒化物、オキシニトライド(oxynitride)、
硼燐酸塩ケイ酸塩ガラス(BPSG)、硼ケイ酸ガラス
(BSG)、フルオロケイ酸塩ガラス、燐酸塩ケイ酸塩
ガラス、ドープされていないケイ酸塩ガラス、スピンオ
ンガラス(SOG)のような他の誘電体、Pドープされ
た酸化シリコン(Pガラス)、窒化シリコン(Six
y )、二酸化シリコン、(例えば(x/2)+(3y/
4)=aであるような一般式[Sia x y]の)シ
リコンオキシニトライド、Al2 3 ,窒化アルミニウ
ム[例えばAlN]のような窒化金属、Si3 4 、V
2 5 、テトラエチルオルソケイ酸塩ベースの酸化物、
酸化チタニウム、(例えば(2x/3)+y=bのよう
な一般公式[Ab x y ]の)アルミニウムオキシニ
トライド、(例えばx=2a+3b/2、y=4a/3
+bである一般式[Sia Alb x y ]の)そのア
ルミノケイ酸塩および窒化物、硼素および/または3価
燐ドープされたアルミン酸塩、アルミノケイ酸塩を含ん
でいる。好ましくは、トレンチ誘電体材料は(テトラエ
チルオルソケイ酸またはテトラエトキシシランとしても
知られている)TEOSのプラズマ補助蒸気熱分解によ
り形成され、この蒸気は約0.3乃至約1トルの圧力と
約640−660℃の温度における硼素源としてのトリ
メチル硼酸塩(TMB)および/または燐源としてのホ
スフィンをさらに含んでもよい。
【0034】トレンチ誘電体層の厚さは特に限定されな
いが、好ましくは約0.06乃至3.0μm、さらに好
ましくは0.10乃至1.5μm、さらに好ましくは
0.15乃至1.0μmの範囲内である。0.18μm
ゲート幅を有するプロセスではトレンチの厚さは約0.
20μmであってもよい。トレンチ誘電体層は単一の誘
電体材料で構成されてもよいが、同一または異なる誘電
体材料の複数の層で構成されてもよい。
【0035】半導体構造の断面部分が図1に示されてお
り、コンタクト誘電体層1、エッチストップ層14、ゲー
ト12とキャップ誘電体層13とを有するゲート構造3、ト
レンチ誘電体層4を含んでいる。
【0036】トレンチは通常のフォトリソグラフおよび
エッチング技術により半導体構造のトレンチ誘電体層に
形成されてもよい。しかしながら、フォトリソグラフ処
理中にさらに大きい解像度を得るために、反射防止被覆
(ARC)層(図示せず)がトレンチマスクを形成する
ためにフォトレジスト層5を付着する前にトレンチ誘電
体材料の露出表面に付着されてもよい(図2参照)。1
つの適切なARCは下部の反射防止被覆(BARC)を
含み、これはBrewer Science(Rolla,Mo.), Clariant, H
itachiまたはTokyo Ohka(例えばSinger Semiconductor
International1999年3月、22(3) 巻、55〜59頁参照)
から市場で入手可能な材料等の有機物材料であってもよ
い。代わりに、誘電体ARC層(例えばApplied Materi
al、サンタクララ、カリフォルニア州から入手可能なS
iOz y またはDARC(商標名))、犠牲ARC層
(例えばTiN)または前述のARC層材料からなる多
層化構造等の無機物の誘電体層が使用されてもよい。誘
電体ARC層は約200オングストローム乃至約1,0
00オングストローム、典型的には300オングストロ
ーム乃至約700オングストロームの厚さであってもよ
い。
【0037】トレンチ誘電体をパターン化するためのフ
ォトレジスト層は、スピン被覆等の当業者に知られてい
る一般的な方法によりトレンチ誘電体またはARC層上
に形成されることができる。レジスト材料はその後慣例
的にパターン化される。
【0038】ネガチブレジスト材料はゴムおよび/また
は例えばゴムによりクロスリンクを形成するように光と
反応する光反応剤のような化学的に不活性のポリマー成
分を含んでいてもよい。有機物現像剤中に位置されると
き、露出されずポリマーにされていないレジストは溶解
し、露光された区域にポリマーのパターンを残す。ネガ
チブレジスト材料の処理および付着は当業者のレベル内
であり、過度の実験をせずに行われることができる。ネ
ガチブレジストシステムの例はクレゾールエポキシノボ
ラックベースのネガチブレジストと、Kirk-Othmer Ency
clopedia of Chemical Technology 、第3版、17巻の題
名“Photoreactive Polymers”、680 〜708 頁に記載さ
れているような1以上の光反応重合体を含むネガチブレ
ジストを含んでいるが特にそれらに限定されていない。
【0039】ボジチブレジストは露光される区域で破壊
される光反応成分を有する。典型的にレジストは水性の
アルカリ性溶液で除去され、この場合、露光区域が溶解
する。ボジチブレジスト材料の処理および付着は当業者
のレベル内であり、過度の実験せずに実行されることが
できる。適切なボジチブレジストシステムの例は、Ship
ley XP9402、JSR KRK-K2G 、JSR KRF-L7のボジチブレジ
ストと、Kirk-OthmerEncyclopedia of Chemical Techno
logy 、第3版、17巻の題名“PhotoreactivePolymer
s”、680 〜708 頁に記載されている1以上の光反応重
合体を含んでいるボジチブレジストとを含んでいるがそ
れらに限定されない。
【0040】レジスト材料の例は、Bayer (IBM Tech.
Discl. Bull(USA)、22巻、No.5、1979年10月、1855
頁)、Tabei (米国特許第4,613,404 号明細書)、Tayl
or(J Vac. Sci. Technol. B、13巻、No.6、1995年、30
78−3081頁)、Argitis (J Vac.Sci. Technol. B、13
巻、No.6、1995年、3030−3034頁)、Itani (J Vac. S
ci. Technol. B、13巻、No.6、1995年、3026−3029
頁)、Ohfuji(J Vac. Sci. Technol. B、13巻、No.6、
1995年、3022−3025頁)、Trichkov(J Vac. Sci. Tech
nol. B、13巻、No.6、1995年、2986−2993頁)、Capodi
eci (J Vac. Sci. Technol. B、13巻、No.6、1995年、
2963−2967頁)、Zuniga(J Vac. Sci. Technol.B、13
巻、No.6、1995年、2957−2962頁)、Xiao(J Vac. Sc
i. Technol. B、13巻、No.6、1995年、2897−2903
頁)、Tan (J Vac. Sci. Technol. B、13巻、No.6、19
95年、2539−2544頁)、Mayone(J Vac. Sci. Techno
l.、12巻、No.6、1995年、1382−1382頁)にも記載され
ている。レジスト材料の処理および付着を記載している
前述の参照文献の関連部分は本発明の参考文献とされ
る。特定のエッチング状態におけるレジスト材料の選択
は当業者のレベル内であり、過度の実験をせずに実行さ
れることができる。
【0041】フォトレジスト層は、フォトリソグラフマ
スクを通過する放射へフォトレジスト層を露出する等に
よる当業者に知られた慣例的なリソグラフステップによ
ってパターン化されることができる。このような選択的
な露光と、それに続く慣例的な現像は金属被覆構造の配
線パターンに対応してトレンチパターンを発生できる。
フォトレジスト材料のタイプ(即ちポジまたはネガ)に
基づいて、フォトレジスト材料の選択された部分は適切
な現像剤/溶剤で現像されることによって除去されるこ
とができ、結果的なパターンはその後のエッチング前に
(例えば炉で焼成することにより)加熱されてもよい。
【0042】図2はトレンチ誘電体層4上にパターン化
されたトレンチマスク5を有する半導体構造の断面を示
している。パターン化されたトレンチマスク5とトレン
チ誘電体層4との間に配置されている選択的なARC層
は図示されていない。
【0043】露出されたトレンチ誘電体材料層4のエッ
チングは下に位置するエッチストップ層14を実質的にエ
ッチングせずに露出されたトレンチ誘電体材料4を実質
上除去する条件下で行われるべきである。特定の条件は
当業者により選択されることができる。下に位置するエ
ッチストップ層14の実質的なエッチングをしないトレン
チ誘電体材料4の実効的なエッチングは、CHF3 およ
び/またはCF4 、好ましくはCHF3 とCF4 との混
合物を有するエッチング剤により、選択的にArを存在
させて、100−300mトルの圧力、好ましくは約2
00mトルで、10−50ガウス、好ましくは約30ガ
ウスの磁界で、100−2,500ワット、好ましくは
約500−1、500ワットのパワーにおけるエッチン
グにより実現される。ウェハの後面は5−20トル、好
ましくは約14トルの圧力でHeで冷却されてもよい。
トレンチエッチングは露出されたトレンチ誘電体材料
(例えばエッチングにわたって適合するように0.5x
乃至1.25x、好ましくは0.75x乃至1.1x、
さらに好ましくは約x、ただしxはトレンチ誘電体層の
厚さである)の一部、ほとんどまたは全てを除去するの
に十分な時間の長さで行われ、実質上エッチストップ層
(例えば0.2yまたは200オングストローム以下、
好ましくは0.15yまたは150オングストローム、
さらに好ましくは0.1y乃至100オングストローム
以下、ただしyはエッチストップ層の厚さである)をエ
ッチングしない。
【0044】さらに、適切なエッチング条件はQiaoとNu
lty の米国特許出願第09/326,432号明細書(1999年6月
4日)に記載されている。
【0045】エッチングガスは典型的に慣例的な反応イ
オンエッチングで当業者に使用されるガスである。典型
的にハロゲン化炭素ガスとしては、CHF3 、C
4 8 、C 2 6 、F−134、F−134a、C
4 、SF6 、NF3 、SF6 、Cl2、HF、HC
l、CCl4 、Cn x y (ここでn≧1、y≧1、
x+y=2n+2)(例えば米国特許出願第08/683,407
号明細書および/または米国特許第5,468,342 号明細書
参照)およびその混合物、好ましくはCHF3 、C4
8 および/またはF−134a、さらに好ましくはCH
3 とC4 8 の混合物(米国特許第09/253,991号明細
書、1999年2月22日参照)等がある。一酸化炭素も選択
的な成分としてエッチングガスに含まれてもよい。本発
明の文脈内では、用語“エッチングガス”は誘電体をエ
ッチングするプラズマのアクティブ成分を発生するガス
またはガス混合物の成分を意味する。ここで説明するエ
ッチングガスの流動率は酸素またはキャリアガスを含ま
ない。
【0046】エッチングガスの総流動率は典型的に5乃
至500sccm、好ましくは15乃至300sccm
であり、さらに好ましくは25乃至250sccmであ
る。このガス流動では、4乃至450sccm、好まし
くは8乃至200sccmがNe、Kr、Xe、CO、
CO2 、SO2 、He、Ar、N2 およびそれらの混合
物を含んでもよい。典型的にプラズマに衝突前のエッチ
ングガスの総流動率は、選択的なフラッシュストライク
ステップまたは次のエッチ相またはプロセスステップ中
に使用されてもよいエッチングガスの総流動率とほぼ同
じであるか僅かに大きい。適切な条件は米国特許出願第
08/683,407号、第08/577,751号および/または第08/93
5,705号明細書と、米国特許第5,468,342 号および/ま
たは第5,562,801 号明細書に記載されている。
【0047】トレンチ誘電体材料のエッチングの選択さ
れた条件では、エッチストップ層のエッチングはほとん
どないか実質上全くない。このような選択的なエッチン
グの条件、エッチング剤、時間の決定は当業者のレベル
内であり、典型的にトレンチ誘電体層の厚さおよび組成
と、エッチストップ層の組成を考慮する。結果としてト
レンチ6がトレンチ誘電体層4中に形成され、エッチス
トップ層14とARC層とを実質上完全な状態でその間に
残す。
【0048】トレンチ誘電体材料が相互接続構造6の形
成を可能にするパターンでエッチングされた後、トレン
チフォトレジストマスク5は当業者に知られている一般
的な方法により除去され(図3)、コンタクト開口マス
ク7がそこに形成される(それぞれ側面図と上面図であ
る図4および図5参照)。コンタクト開口マスク7を形
成するための適切なフォトレジスト材料および方法はト
レンチマスクについての前述した説明と同じである。コ
ンタクト開口マスクに形成されるパターンは所望のコン
タクト形状に対応し、典型的に円形のパターンである。
コンタクト開口マスク7のパターン化は当業者に知られ
ている適切な条件で実行されてもよい。前述のBARC
層はまたコンタクト孔マスクのパターン化の解像度を強
化するために使用されてもよい。
【0049】コンタクト開口マスク7の形成後、トレン
チ誘電体層4とBARCまたは無機物の反射防止被覆層
14の露出部分は典型的にエッチングにより除去される。
トレンチ誘電体材料は前述した方法で、および選択的に
ARCおよび/またはコンタクト誘電体層に関して選択
的または非選択的な方法でエッチングされてもよい。B
ARC層を除去するための例示的なエッチング条件は、
エッチングを受けるBARC層部分を、CHF3 および
/またはCF4 を有するプラズマへ(好ましくはその混
合物)により約5−200mトルの圧力でArのない1
00−1,000ワットのパワーにおいて露出すること
を含んでいるがそれに限定されない。磁界のない状態で
Heによる2−30トルの圧力における後面冷却が好ま
しい。
【0050】エッチストップ層の露出部分を除去する適
切なエッチング条件は、一般的な圧力および/またはこ
のようなエッチング化学処理に対する流動速度で(例え
ば約70mトルおよび500−1,500ワットの圧力
下であり磁界が存在せずに)、CF4 とCHF3 の混合
物またはCHF3 /CF4 /ArまたはC4 8 とO 2
から形成され選択的にArが存在するプラズマに対して
エッチストップ層を露出することを含んでいる。ウェハ
の背面は2−30トルのHe圧力で冷却されてもよい。
エッチングされた後の構造は図6に示されている。
【0051】代わりの実施形態では、トレンチ誘電体層
がエッチングされた後であるが、コンタクト開口マスク
7の形成前に、エッチストップ層14の露出された部分
は、コンタクト開口マスクとしてパターン化されたフォ
トレジストがない状態で、マスクとしてトレンチ誘電体
層4を使用して除去される。エッチストップ層のエッチ
ングは、トレンチ誘電体層の材料を実質上エッチングし
ない条件下で行われることが好ましい。エッチストップ
層の露出部分の除去後、コンタクト開口マスク7は前述
のエッチング方法により形成されたフォトレジスト材料
とコンタクト誘電体層の開口をパターン化することによ
り形成されてもよい。
【0052】トレンチ誘電体4とエッチストップ層14の
露出部分を除去後、コンタクト開口マスク7は当業者に
知られた一般的な方法により除去される。その結果は、
トレンチ誘電体材料がコンタクト開口のパターン(いわ
ゆる“ハードマスク”)を具備する多層構造が得られ
る。この実施形態については、Attorney Docket No. 75
75−065 −77に詳細に説明されている。このような“ハ
ードマスク”の使用および製造方法のさらに別の例は米
国特許第09/326,432号明細書(1999年6月4日)に発見
されることができる。条件は(a)トレンチの厚さより
も大きい厚さ(好ましくは100オングストローム以
上、さらに好ましくは200オングストローム以上、さ
らに好ましくは300オングストローム以上(典型的に
は約1,000オングストロームだけ))のトレンチ誘
電体の厚さと、(b)いずれかの材料がエッチングされ
るとき、トレンチ誘電体材料とは実質上異なるエッチン
グ速度を有するコンタクト誘電体材料とを含むべきであ
る。この文脈ではコンタクト誘電体エッチングステップ
はゲート、さらに好ましくはスペーサおよび/またはキ
ャップ誘電体材料に対するコンタクト誘電体材料のエッ
チングに対して選択的である。第2の材料のエッチング
速度に対する第1の材料のエッチング速度の比率が5:
1以上、好ましくは10:1以上、さらに好ましくは1
5:1以上であるならば、エッチングは“選択的”と考
慮される。代わりに、コンタクト誘電体層をエッチング
しながらコンタクト開口マスク7はその位置に残留し、
好ましい処理は傾斜したコンタクト開口壁を形成する。
【0053】コンタクト開口のエッチング前に、トレン
チ層6(選択的に開口8)は必要に応じてCF4 を含ん
でもよい通常の酸素プラズマエッチングと、その後の通
常硫酸ベースのウェットクリーニングによるプラズマク
リーニングによって洗浄されてもよい。
【0054】自己整列されたコンタクトのエッチング条
件は以下の表で列挙されている1以上の条件を含んでも
よい。 表 1 状態 通常の範囲 好ましい範囲 RFパワー(W) 100ー1,500 400−600 圧力(mトル) 10−300 30−80 He冷却圧力(T) 2−30 5−10 C2 2 4 1−50 3−10 CHF3 (sccm) 0−200 20−50 Ar(sccm) 0−200 50−150 C4 8 (sccm) 0−50 0−5 磁界(ガウス) 0−50 10−30 図7を参照すると、コンタクト誘電体層1はゲート構造
3に隣接した半導体基体の下に位置するアクティブ領域
へコンタクト開口を形成するためにエッチングされる。
トレンチ誘電体層4中の開口8のパターン化中のマスク
整列エラーに対するさらに大きい許容度が自己整列され
たコンタクト(SAC)技術(即ちコンタクト孔はスペ
ーサ2に対して“自己整列される”)により与えられ
る。
【0055】エッチングガスは通常の反応イオンエッチ
ングで当業者により典型的に使用されるものである。典
型的にハロゲン化炭素としては、CHF3 、C4 8
26 、F−134、F−134a、CF4 、S
6 、NF3 、SF6 、Cl2 、HF、HCl、CCl
4 、Cn x y (ここでn≧1、y≧1、x+y=2
n+2)(例えば米国特許出願第08/683,407号明細書お
よび/または米国特許第5,468,342 号明細書参照)およ
びその混合物、好ましくはCHF3 、C4 8 および/
またはF−134a、さらに好ましくはCHF3 とC4
8 の混合物(米国特許第09/253,991号明細書、1999年
2月22日参照)等がある。一酸化炭素も随意選択的な成
分としてエッチングガスに含まれてもよい。本発明の文
脈内では、用語“エッチングガス”は誘電体をエッチン
グするプラズマのアクティブ成分を発生するガスの成分
を意味する。ここで説明するエッチングガスの流動率は
特に示さない場合には酸素またはキャリアガスを含まな
い。
【0056】エッチングガスステップの総流動率は典型
的に5乃至500sccm、好ましくは15乃至300
sccmであり、さらに好ましくは25乃至250sc
cmである。この流動量では、4乃至450sccm、
好ましくは8乃至200sccmがNe、Kr、Xe、
CO、CO2 、SO2 、He、Ar、N2 およびその混
合物のようなキャリアガスを含んでもよい。典型的にプ
ラズマに衝突する前のエッチングガスの総流動率は、選
択的なフラッシュストライクステップまたは次のエッチ
相またはプロセスステップ中に使用されてもよいエッチ
ングガスの総流動率とほぼ同じであるか僅かに大きい。
適切な条件は米国特許出願第08/683,407号、第08/577,7
51号および/または第08/935,705号明細書と、米国特許
第5,468,342 号および/または第5,562,801 号明細書に
記載されている。
【0057】図8はコンタクト開口およびトレンチにバ
ルクな導電材料11を付着した後の装置を示している。バ
ルクな導電材料11の付着前に、所望に応じてライナー
層、ウェッティング層またはバリア層のような層10が形
成されてもよい。ライナー層、ウェッティング層および
/またはバリア層は誘電材料および、その下の材料また
はアクティブ領域に対する導電材料の接着を助ける(例
えばタングステン、WSix またはAlまたはドープさ
れていないポリシリコン等の導電材料)。ライナー層、
ウェッティング層および/またはバリア層はまたバルク
な導電材料と下に位置する基体との間の拡散を防止また
は禁止するためのバリアの作用を行うように構成されて
もよい。層10はまた材料の単一の層、または独立して選
択された化学的組成および厚さを有する同一または異な
る材料の多数の層で構成されてもよい。
【0058】適切なライナー/ウェッティング層/バリ
ア層材料の例には、チタニウム、ジルコニウム、ハフニ
ウム、タンタル、クロム、モリブデン、タングステン、
銅、ニッケル、コバルト、ルテニウム、ロジウム、パラ
ジウム、オスミウム、イリジウム、プラチナ、金、銀等
の貴金属と、チタニウム−タングステン、アルミニウム
−チタニウムまたはアルミニウム−シリコンのようなそ
れらの合金と、窒化タンタル、窒化チタニウム等の導電
窒化物が含まれるがそれに限定されない。好ましくはラ
イナー/ウェッティング層/バリア層はチタニウム、通
常のチタニウム−タングステン合金または窒化チタニウ
ムである。ライナー/ウェッティング層/バリア層がチ
タニウムであるとき、ライナー/ウェッティング層/バ
リア層の付着は、その後でN2 またはNH3 を有する気
圧で急速な熱アニール(RTA)を受けることが好まし
い。
【0059】ライナー/ウェッティング層/バリア層は
気相付着またはプラズマ蒸着、イオン化金属プラズマ、
スパッタリング等、当業者に知られている一般的な方法
により付着されることができる。付着はコリメートされ
たプロセスにより行われてもよい。ライナー/ウェッテ
ィング層/バリア層の厚さは典型的に約50乃至約10
00オングストロームの厚さであり、好ましくは約10
0乃至約600オングストロームの厚さであり、さらに
好ましくは約150乃至約500オングストロームの厚
さである。典型的に厚さはコンタクト部外の平面で測定
するとき700オングストロームである。側壁の厚さは
典型的に平面の厚さxに対して0.1xであり、コンタ
クト部に対する下部の厚さは典型的に0.5xの平面の
厚さである。
【0060】ライナー層はウェハの露出される表面全体
をカバーするのに十分な量を付着され、本発明の技術的
範囲内では、誘電体層の最上部表面と側壁と開口の底部
とを覆うのに十分な量を付着されることが好ましい。ラ
イナー/ウェッティング層層の付着中、付着は指向的な
方法で行われることが好ましい。指向的付着は例えばコ
リメートされたスパッタリングまたはイオン金属プラズ
マ(IMP)方法による当業者に知られた通常の方法で
実行されてもよい。1実施形態では、コリメーションフ
ィルタが1:1のアスペクト比(高さ:直径)以上のセ
ルを有する。
【0061】別々のバリア層がライナー/接着層に付加
的に形成されてもよい。ライナー層がTiであるとき、
TiNまたはTiWの別のバリア層が好ましい。このよ
うなバリア層は過度の実験をせずに当業者に知られた方
法により形成されることができる。
【0062】構造が導電材料による金属付着の処理をさ
れ、これは特別に限定されず、例えばアルミニウム、タ
ングステン、銅、チタニウム、合金、ケイ化物等、好ま
しくはアルミニウム、銅および/またはタングステン、
さらに好ましくはタングステンを含んでいる。限定され
ていない例はAl−0.5%のCu合金、Al−Si−
0.5%のCu合金、Al°、Al−Ge、Al−Si
−Ge、W、Cu、Cu合金を含んでいる。好ましい実
施形態では導電材料はWである。
【0063】適切な付着条件は当業者に知られており、
導電材料の1つのバルクな層の付着を含んでいる。付着
は、カリフォルニア州サンタクララのApplied Material
によるENDURAスパッタリングシステム等の市販の
スパッタリング装置等の他の一般的な物理的蒸着装置を
使用して行われてもよい。バルクな金属(例えばAlま
たはW)を付着するとき、通常の2つのステップ(冷却
および加熱)または3つのステップ(冷却、低速度の加
熱、高速度の加熱)プロセスが使用され、ここで“冷
却”=T1 、“加熱”=T2 とすると、T1 ≦T2 −4
0℃であり、好ましくはT1 ≦T2 −60℃である。第
1の段階の付着では、SiH4 が付着雰囲気中に付加さ
れ、導電性材料の核生成が生じる。適切な“3ステッ
プ”付着条件は米国特許出願第08/693,978号明細書に記
載されている。スパッタリングされるターゲット材料か
らウェハ表面までの距離は通常約2.5cmから5cm
である。
【0064】最終的な処理は化学機械的研磨等による当
業者に知られた方法によって導電材料11とライナー/ウ
ェッティング層10を平坦化することを含んでおり、結果
は図9および図10に示されており、ここで図10は図
9で示されている構造の上面図を示している。本発明の
文脈内ではコンタクト開口と、下に位置する導電領域と
の誤整列が生じる可能性はあるが、SAC金属被覆の実
効的な形成は阻止されない。コンタクト開口と下に位置
する導電領域の誤整列のさらに詳細な説明は発明の名称
“Method of Making Metallization and Contact Struc
tures in an Integrated Circuit”(Applicant's Refe
rence No.PM99024; Attoney Docket No.7575-0065-77)
に記載されている。導電材料はその最上部表面がトレン
チ誘電体層の最上部表面と実質上同一平面になるまで平
坦化されることが好ましい。その後、この処理方法は当
業者に知られている方法によって(同一平面)導電材料
とトレンチ誘電体層を覆って中間層の誘電体層を付着す
ることをさらに含んでいる。窒化シリコンを含む中間層
の誘電体はボーダレスコンタクト部を有する積層構造の
層(多層構造)の間のインターフェイスとして使用され
てもよい。好ましい中間層の誘電体層はTEOS層を構
成するが、トレンチ誘電体層について前述した材料から
の任意の適切な誘電体材料が選択される。ボーダレスコ
ンタクト部には低いk誘電率の窒化シリコン(またはエ
ッチストップ材料)が使用される。さらに、複数の層
(例えばBARC、低いkの保護層)が多層構造に使用
されてもよい。1000乃至5000オングストローム
の厚さの中間層の誘電体層はその後、さらに処理する前
に例えば再流動または化学機械的研磨による当業者に知
られている方法により平坦化される。
【0065】本発明はまた前述の構造を含んだ集積回路
に関する。
【0066】この明細書では“デュアルダマスク”金属
被覆処理を使用して集積回路中の金属被覆およびコンタ
クト構造を形成する方法を説明している。エッチストッ
プ層のない集積回路で金属被覆およびコンタクト構造を
形成する類似のプロセスは発明の名称“Method of Maki
ng Metallization and Contact Structures in an Inte
grated Circuit”の米国特許出願(出願の参照番号第PM
99024:Attorney Docket 第7575-0066-77)明細書に記載
されている。
【0067】本明細書では誘電体材料の多層を通じてコ
ンタクト開口とトレンチ構造を製造するエッチング処理
方法を説明した。本明細書では誘電体材料の多層を通じ
てコンタクト開口とトレンチ構造を製造するエッチング
処理方法についても説明した。下に位置する金属被覆構
造に金属被覆およびコンタクトまたはバイア孔を同時に
形成するための類似の方法を使用することは本発明の技
術的範囲内である。
【0068】明らかに、本発明の多数の変形および変化
が前述の方法を考慮して可能である。それ故、特許請求
の範囲の技術的範囲において、本発明がここで特別に説
明した以外の方法で実施されてもよいことが理解されよ
う。
【図面の簡単な説明】
【図1】コンタクト誘電体層と、エッチストップ層と、
トレンチ誘電体層とを具備している半導体構造を示す
図。
【図2】パターン化されたトレンチマスクをさらに具備
している半導体構造を示す図。
【図3】エッチングされたトレンチ誘電体層を具備して
いる半導体構造を示す図。
【図4】エッチングされたトレンチ誘電体層と、パター
ン化されたコンタクト開口マスクを具備している半導体
構造を示す図。
【図5】図4で示されている半導体構造の平面図。
【図6】トレンチ誘電体層中にエッチングされたトレン
チおよびコンタクト孔開口を具備する半導体構造を示す
図。
【図7】トレンチ誘電体層中にエッチングされたトレン
チと、コンタクト誘電体層中にエッチングされたコンタ
クト孔とを具備している半導体構造を示す図。
【図8】ライナー層と自己整列したコンタクトおよび相
互接続構造とを具備している半導体構造を示す図。
【図9】平坦化後、自己整列したコンタクトおよび相互
接続構造とを具備している半導体構造を示す図。
【図10】図9で示されている半導体構造の平面図。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アライン・ブロッセ アメリカ合衆国、カリフォルニア州 94402、サン・マテオ、ブランデーワイ ン・ロード 1530 (72)発明者 サンジャイ・セドキ アメリカ合衆国、カリフォルニア州 95050、サンタ・クララ、ラブランド・コ ート 1712 (72)発明者 ジャンミン・キアオ アメリカ合衆国、カリフォルニア州 94539、フレモント、ライブモア・コモン 43233 (72)発明者 イザック・ギルボア アメリカ合衆国、カリフォルニア州 94087、サニーベール、ヘロン・アベニュ ー 1761 Fターム(参考) 4M104 AA01 AA02 AA05 BB01 BB03 BB04 BB05 BB06 BB07 BB08 BB09 BB13 BB14 BB16 BB17 BB18 BB30 BB32 CC05 DD04 DD06 DD16 DD17 DD18 DD19 DD37 DD75 DD80 FF09 FF13 FF14 FF22 HH14 5F004 AA03 CA02 DA01 DA02 DA04 DA05 DA16 DA17 DA18 DA20 DA22 DA23 DA25 DA29 DA30 DB00 DB04 DB05 EA23 5F033 GG00 GG02 HH09 HH11 HH13 HH14 HH17 HH18 HH19 HH20 HH21 HH23 HH32 HH33 JJ01 JJ09 JJ11 JJ13 JJ14 JJ17 JJ18 JJ19 JJ20 JJ21 JJ23 JJ32 JJ33 KK01 KK04 KK25 MM02 MM07 MM12 NN06 NN07 NN30 NN31 PP15 PP22 QQ04 QQ09 QQ10 QQ12 QQ25 QQ28 QQ37 QQ48 QQ73 QQ82 RR04 RR05 RR06 RR08 RR09 RR11 RR13 RR14 RR15 SS04 SS13 TT02 TT08 VV06 WW00 WW02 XX03 XX34

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 a)複合構造のトレンチ誘電体層をエッ
    チングし、 その複合構造は、 i)アクティブ領域を有する半導体基体と、 ii)その上のゲート構造と、 iii )前記ゲート構造に隣接する少なくとも1つの誘電
    体スペーサと、 iv)前記半導体基体、前記ゲート構造、前記誘電体スペ
    ーサ上のコンタクト誘電体層と、 v)前記コンタクト誘電体層上のエッチストップ層と、 vi)前記エッチストップ層上のトレンチ誘電体層とを具
    備し、前記エッチストップ層を実質上エッチングしない
    エッチング条件下で前記トレンチ誘電体層にトレンチを
    形成し、 b)前記アクティブ領域と前記誘電体スペーサの一部分
    とを露出するために前記ゲート構造を実質上損傷しない
    条件下でエッチングすることにより前記エッチストップ
    層と前記コンタクト誘電体層に開口を形成し、 c)前記開口と前記トレンチ中に導電性材料を付着する
    ステップを有する集積回路に金属被覆部およびコンタク
    ト構造を形成する方法。
  2. 【請求項2】 前記複合構造は前記開口を形成するとき
    コンタクト開口マスクを構成している請求項1記載の方
    法。
  3. 【請求項3】 前記開口の形成は前記エッチストップ層
    と前記コンタクト誘電体層のエッチングを含んでいる請
    求項1記載の方法。
  4. 【請求項4】 前記トレンチ誘電体層のエッチングはそ
    の全体的な厚さを貫通してエッチングするステップを有
    する請求項3記載の方法。
  5. 【請求項5】 前記トレンチ誘電体層は500乃至40
    00オングストロームの厚さを有している請求項1記載
    の方法。
  6. 【請求項6】 前記エッチストップ層は100乃至15
    00オングストロームの厚さを有する請求項1記載の方
    法。
  7. 【請求項7】 前記コンタクト誘電体層は1500乃至
    4000オングストロームの厚さを有する請求項1記載
    の方法。
  8. 【請求項8】 前記トレンチ誘電体層のエッチングは前
    記エッチストップ層のエッチング速度に関して少なくと
    も5:1のエッチング速度を与える条件下で行われる請
    求項1記載の方法。
  9. 【請求項9】 前記コンタクト誘電体層のエッチングは
    前記スペーサおよび前記ゲート構造のエッチング速度に
    関して少なくとも5:1のエッチング速度を与える条件
    下で行われる請求項1記載の方法。
  10. 【請求項10】 前記導電材料を前記開口および前記ト
    レンチに付着する前に、ライナーと、ウェッティング層
    とバリア層の少なくとも1つの機能をする層を前記開口
    および前記トレンチ中に形成するステップをさらに有す
    る請求項1記載の方法。
  11. 【請求項11】 前記ライナーと、ウェッティング層
    と、バリア層の少なくとも1つの機能を有する層は50
    オングストローム乃至1000オングストロームの厚さ
    を有している請求項8記載の方法。
  12. 【請求項12】 最上部表面が前記トレンチ誘電体層の
    最上部表面と実質上同一平面になるまで前記導電材料を
    平坦化するステップをさらに有する請求項1記載の方
    法。
  13. 【請求項13】 前記平坦化された導電材料と前記トレ
    ンチ誘電体層の上に中間層の誘電体層を付着するステッ
    プをさらに有する請求項12記載の方法。
  14. 【請求項14】 前記複合構造は前記トレンチ誘電体層
    と前記パターン化されたフォトレジストとの間に配置さ
    れた反射防止被覆をさらに具備している請求項1記載の
    方法。
  15. 【請求項15】 前記反射防止被覆は有機物の反射防止
    被覆で構成されている請求項14記載の方法。
  16. 【請求項16】 前記反射防止被覆は誘電体の反射防止
    被覆で構成されている請求項14記載の方法。
  17. 【請求項17】 前記トレンチ誘電体層はTEOS層で
    構成されている請求項1記載の方法。
  18. 【請求項18】 前記コンタクト誘電層はドープされた
    ケイ酸塩ガラスで構成されている請求項1記載の方法。
  19. 【請求項19】前記ドープされたシリコン酸化物のコン
    タクト誘電体層は、燐酸塩ケイ酸塩ガラス、硼燐酸塩ケ
    イ酸ガラス、フルオロケイ酸ガラスからなるグループか
    ら選択された部材を具備している請求項18記載の方
    法。
  20. 【請求項20】 前記エッチストップ層は窒化シリコン
    で構成されている請求項1記載の方法。
JP2001181510A 2000-06-15 2001-06-15 エッチストップ層を具備した集積回路における金属被覆部およびコンタクト構造の製造方法 Expired - Fee Related JP3730539B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/593968 2000-06-15
US09/593,968 US6399512B1 (en) 2000-06-15 2000-06-15 Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer

Publications (2)

Publication Number Publication Date
JP2002016140A true JP2002016140A (ja) 2002-01-18
JP3730539B2 JP3730539B2 (ja) 2006-01-05

Family

ID=24376957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001181510A Expired - Fee Related JP3730539B2 (ja) 2000-06-15 2001-06-15 エッチストップ層を具備した集積回路における金属被覆部およびコンタクト構造の製造方法

Country Status (6)

Country Link
US (1) US6399512B1 (ja)
EP (1) EP1164637A3 (ja)
JP (1) JP3730539B2 (ja)
KR (1) KR100421155B1 (ja)
SG (1) SG91363A1 (ja)
TW (1) TW516106B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006215520A (ja) * 2005-02-04 2006-08-17 Qualcomm Mems Technologies Inc 光干渉式カラーディスプレイの製造方法
JP2011523780A (ja) * 2008-05-21 2011-08-18 インターナショナル・ビジネス・マシーンズ・コーポレーション 導電性コンタクトの組み込みのための構造体及びプロセス

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9915589D0 (en) * 1999-07-02 1999-09-01 Smithkline Beecham Plc Novel compounds
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US7183222B2 (en) * 2000-09-01 2007-02-27 Cypress Semiconductor Corporation Dual damascene structure and method of making
TW527646B (en) * 2001-07-24 2003-04-11 United Microelectronics Corp Method for pre-cleaning residual polymer
US6727183B1 (en) * 2001-07-27 2004-04-27 Taiwan Semiconductor Manufacturing Company Prevention of spiking in ultra low dielectric constant material
JP3624375B2 (ja) * 2001-10-16 2005-03-02 ユーディナデバイス株式会社 半導体装置の製造方法
US6740592B1 (en) * 2001-12-03 2004-05-25 Taiwan Semiconductor Manufacturing Company Shallow trench isolation scheme for border-less contact process
DE10201448A1 (de) * 2002-01-16 2003-07-24 Infineon Technologies Ag Durchgangskontakt und Verfahren zum Herstellen desselben
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6686279B2 (en) * 2002-04-01 2004-02-03 Chartered Semiconductor Manufacturing Limited Method for reducing gouging during via formation
US6902870B1 (en) * 2002-06-19 2005-06-07 Advanced Micro Devices, Inc. Patterning of dielectric with added layers of materials aside from photoresist for enhanced pattern transfer
DE10234165B4 (de) * 2002-07-26 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Füllen eines Grabens, der in einem Substrat gebildet ist, mit einem isolierenden Material
US20040115946A1 (en) * 2002-12-16 2004-06-17 Hall Lindsey H. Use of a sulfuric acid clean to remove titanium fluoride nodules
KR100878498B1 (ko) * 2002-12-30 2009-01-15 주식회사 하이닉스반도체 트랜지스터 제조방법
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US7238588B2 (en) * 2003-01-14 2007-07-03 Advanced Micro Devices, Inc. Silicon buffered shallow trench isolation
US6962857B1 (en) 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
DE10311691A1 (de) * 2003-03-17 2004-10-07 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
US6921709B1 (en) 2003-07-15 2005-07-26 Advanced Micro Devices, Inc. Front side seal to prevent germanium outgassing
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
JP4567396B2 (ja) * 2004-08-10 2010-10-20 セイコーインスツル株式会社 半導体集積回路装置
KR100613392B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 자기 정렬 콘택홀 형성 방법
US7776729B2 (en) * 2006-11-30 2010-08-17 Intel Corporation Transistor, method of manufacturing same, etchant for use during manufacture of same, and system containing same
KR20100123766A (ko) 2008-07-18 2010-11-24 가부시키가이샤 알박 Cu 배선막의 형성 방법
JP5522377B2 (ja) * 2009-03-05 2014-06-18 Tdk株式会社 貫通電極の形成方法、及び半導体基板
US8282846B2 (en) * 2010-02-27 2012-10-09 National Semiconductor Corporation Metal interconnect structure with a side wall spacer that protects an ARC layer and a bond pad from corrosion and method of forming the metal interconnect structure
US9177917B2 (en) 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US8753981B2 (en) * 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
CN102956459B (zh) * 2011-08-26 2016-04-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8679968B2 (en) * 2012-05-15 2014-03-25 Globalfoundries Singapore Pte. Ltd Method for forming a self-aligned contact opening by a lateral etch
US8969997B2 (en) * 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8728927B1 (en) * 2012-12-10 2014-05-20 International Business Machines Corporation Borderless contacts for semiconductor transistors
CN104737293B (zh) * 2013-12-23 2017-05-03 伍震威 用于功率半导体装置的场板结构及其制造方法
US9984919B1 (en) 2017-07-31 2018-05-29 Globalfoundries Inc. Inverted damascene interconnect structures
US11031286B2 (en) * 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
SG11202011164PA (en) * 2018-05-28 2020-12-30 Daicel Corp Method for manufacturing semiconductor device
US10522644B1 (en) 2018-06-21 2019-12-31 Globalfoundries Inc. Different upper and lower spacers for contact
US20220352076A1 (en) * 2021-04-29 2022-11-03 Intel Corporation Electronic substrate having an embedded etch stop to control cavity depth in glass layers therein

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4933743A (en) 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US5093279A (en) 1991-02-01 1992-03-03 International Business Machines Corporation Laser ablation damascene process
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5292689A (en) 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5312777A (en) 1992-09-25 1994-05-17 International Business Machines Corporation Fabrication methods for bidirectional field emission devices and storage structures
US5371047A (en) 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5397741A (en) 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JP2765478B2 (ja) * 1994-03-30 1998-06-18 日本電気株式会社 半導体装置およびその製造方法
US5496771A (en) 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
JPH0932980A (ja) * 1995-07-21 1997-02-07 Kiyotake Omori 枝管の本管への取付構造
JPH11186391A (ja) * 1997-12-25 1999-07-09 Toshiba Corp 半導体装置およびその製造方法
US6080661A (en) * 1998-05-29 2000-06-27 Philips Electronics North America Corp. Methods for fabricating gate and diffusion contacts in self-aligned contact processes
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
JP2000091440A (ja) * 1998-09-08 2000-03-31 Hitachi Ltd 半導体集積回路装置の製造方法
JP4074014B2 (ja) * 1998-10-27 2008-04-09 株式会社東芝 半導体装置及びその製造方法
KR20000033546A (ko) * 1998-11-24 2000-06-15 윤종용 다마신 공정으로 형성된 도전성 배선을 구비하는 반도체장치 및그 제조방법
JP2000164707A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置およびその製造方法
KR20010063853A (ko) * 1999-12-24 2001-07-09 박종섭 반도체소자의 비트라인 형성방법
KR100333353B1 (ko) * 2000-02-21 2002-04-18 박종섭 반도체장치의 콘택홀 및 그 형성방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006215520A (ja) * 2005-02-04 2006-08-17 Qualcomm Mems Technologies Inc 光干渉式カラーディスプレイの製造方法
JP2011523780A (ja) * 2008-05-21 2011-08-18 インターナショナル・ビジネス・マシーンズ・コーポレーション 導電性コンタクトの組み込みのための構造体及びプロセス

Also Published As

Publication number Publication date
EP1164637A2 (en) 2001-12-19
SG91363A1 (en) 2002-09-17
KR20010113011A (ko) 2001-12-24
JP3730539B2 (ja) 2006-01-05
EP1164637A3 (en) 2006-09-06
TW516106B (en) 2003-01-01
KR100421155B1 (ko) 2004-03-03
US6399512B1 (en) 2002-06-04

Similar Documents

Publication Publication Date Title
JP2002016140A (ja) エッチストップ層を具備した集積回路における金属被覆部およびコンタクト構造の製造方法
US6635566B1 (en) Method of making metallization and contact structures in an integrated circuit
US6140224A (en) Method of forming a tungsten plug
US5920790A (en) Method of forming a semiconductor device having dual inlaid structure
US5910021A (en) Manufacture of semiconductor device with fine pattens
US7064059B2 (en) Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
JP3300643B2 (ja) 半導体装置の製造方法
US7691739B2 (en) Via electromigration improvement by changing the via bottom geometric profile
US20060237853A1 (en) Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
US20020164865A1 (en) Semiconductor device and manufacturing method thereof
US20080174018A1 (en) Semiconductor device and method for fabricating the same
US7397124B2 (en) Process of metal interconnects
US6221759B1 (en) Method for forming aligned vias under trenches in a dual damascene process
US6133143A (en) Method of manufacturing interconnect
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7179734B2 (en) Method for forming dual damascene pattern
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US6995085B2 (en) Underlayer protection for the dual damascene etching
US7538025B2 (en) Dual damascene process flow for porous low-k materials
US6869888B1 (en) E-beam flood exposure of spin-on material to eliminate voids in vias
KR100701384B1 (ko) 듀얼 다마신 공정에서 금속 라인 증착을 위한 트렌치 형성방법
KR100821814B1 (ko) 구리 상감법에 의한 금속배선 형성방법
KR100741924B1 (ko) 폴리머를 이용한 듀얼 다마신 공정
KR20050118469A (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050906

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051006

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091014

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees