JP2001203273A - 半導体集積回路のレイアウト設計方法及び半導体集積回路 - Google Patents

半導体集積回路のレイアウト設計方法及び半導体集積回路

Info

Publication number
JP2001203273A
JP2001203273A JP2000011295A JP2000011295A JP2001203273A JP 2001203273 A JP2001203273 A JP 2001203273A JP 2000011295 A JP2000011295 A JP 2000011295A JP 2000011295 A JP2000011295 A JP 2000011295A JP 2001203273 A JP2001203273 A JP 2001203273A
Authority
JP
Japan
Prior art keywords
delay
flip
value
circuit
average value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000011295A
Other languages
English (en)
Other versions
JP3317948B2 (ja
Inventor
Akitoshi Kato
秋敏 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC IC Microcomputer Systems Co Ltd
Original Assignee
NEC IC Microcomputer Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC IC Microcomputer Systems Co Ltd filed Critical NEC IC Microcomputer Systems Co Ltd
Priority to JP2000011295A priority Critical patent/JP3317948B2/ja
Priority to DE10102141A priority patent/DE10102141C2/de
Priority to US09/764,295 priority patent/US6543042B2/en
Publication of JP2001203273A publication Critical patent/JP2001203273A/ja
Application granted granted Critical
Publication of JP3317948B2 publication Critical patent/JP3317948B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】実質的に影響がない程度にクロックスキュー値
を低減させて設計効率を高めた半導体集積回路のレイア
ウト設計方法及びこの設計方法による半導体集積回路を
提供する。 【解決手段】クロックツリー全経路の遅延値を抽出し
(ステップ15)、その遅延値の平均値を算出し(ステ
ップ16)、各クロックツリー経路の遅延値と平均値と
を比較し(ステップ18)、遅延値が前記平均値より小
のクロックツリー経路に接続されるフリップ・フロップ
回路を一括して遅延値の平均値とmax値との差分を遅
延をもつ遅延付きフリップ・フロップ回路に置換処理す
る(ステップ20)。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体集積回路のレ
イアウト設計方法及び半導体集積回路に係わり、特にク
ロックスキューを低減した半導体集積回路のレイアウト
設計方法及び半導体集積回路に関する。
【0002】
【従来の技術】近年、半導体集積回路は、製造技術の進
歩に伴い回路の高集積化、大規模化、動作速度の高速化
が進んでいる。それに伴い、高集積を実現しながら、集
積回路のホールド不良の原因となるクロックスキューを
低減し、信頼性を向上させることが必要となっている。
【0003】高集積(0.25μmルール、0.18μ
mルール、0.13μmルール)と進むにつれて、配線
ディレイ(遅延)のmax値とmin値の差が大きくな
り、これによりクロック信号の位相差、すなわちクロッ
クスキューが大きくなる。そしてこの値がフリップ・フ
ロップ回路のホールド値より大きくなると、ホールド不
良が発生する。
【0004】ホールド不良について図8を参照して説明
する。同図において、クロック信号(CLK)の遅延値
Nが、遅延値L+フリップ・フロップ回路F/F1の内
部遅延値+遅延値M+フリップ・フロップ回路F/F2
のホールド値以上でないとホールド不良となる。
【0005】そして、クロック信号の遅延差であるクロ
ックスキュー値は配置配線が完了するまで予測できない
為、自動レイアウト完了後に、クロックスキュー値増加
に起因するホールド不良による回路誤動作が発生するこ
とが判明する。
【0006】これに対する従来の解決策は、自動レイア
ウト完了後の遅延情報を基に、回路変更、再検討を経験
的に自動レイアウトの再実行をクロックスキュー低減が
実現するまで実施する。
【0007】図9を参照して従来の設計方法を説明す
る。開始ステップ81の後、ステップ82で回路設計を
行い、ネットリストを作成する。
【0008】次にステップ83で、ステップ82による
ネットリストを使用して、レイアウト工程であるセルの
配置配線を実施し、実配線データの作成をする。
【0009】次にステップ84で、ステップ83で作成
した実配線データとあらかじめ用意した遅延ライブラリ
を基に、配置配線後遅延情報及びクロックスキュー値の
作成をする。
【0010】次にステップ85で、回路設計動作確認、
タイミング、クロックスキュー値の確認を行う。この結
果より、ステップ86で回路状態が満足かどうかを判定
し、遅延調整が必要な場合(NG)、ステップ82に戻
り回路設計を変更し或いはステップ83に戻りセル配置
配線を変更し、ステップ86の判定が満足(YES)す
るまで再自動レイアウトを実行し、ステップ86の判定
がYESとなることで完了87となる。
【0011】また、特開平10−327047号公報に
は次のような技術を開示している。すなわち同公報で
は、フリップ・フロップ回路のデータ入力端または出力
端に遅延素子を持たない通常のフリップ・フロップ回路
による論理セルを使用して決定し、次に、レイアウトの
結果であるレイアウト情報に基づいて論理シミュレーシ
ョンを行い、その論理シミュレーションの結果であるタ
イミング情報をその半導体集積回路の設計仕様に照らし
て、タイミングずれによる誤動作の可能性を検証し、フ
リップ・フロップ回路のデータ入力端またはデータ出力
端に遅延素子が接続している置き換えるものである。
【0012】一方、共通クロック入力端子から複数のフ
リップ・フロップ回路にクロック信号を供給する場合、
クロックツリー方式にするとそれぞれのフリップ・フロ
ップ回路と共通クロック入力端子間のバッフア回路の数
が同じになる等の理由によりクロックスキュー値が低減
する。
【0013】しかしながらこの場合も、遅延量の補正が
必要なので、図10に示すような設計方法が用いられ
る。
【0014】すなわち、開始ステップ91の後、ステッ
プ92で回路設計を行い、ネットリストを作成する。
【0015】次にステップ93で、ステップ92による
ネットリストを使用して、レイアウト工程であるセルの
配置配線を実施し、実配線データの作成をする。
【0016】次にステップ94で、ステップ93で作成
した実配線データとあらかじめ用意した遅延ライブラリ
を基に、配置配線後遅延情報及びクロックスキュー値の
作成をする。
【0017】次にステップ95で、ステップ94で作成
した配置配線後遅延情報とネットリストを基に、クロッ
クツリー全経路の遅延値を抽出する。
【0018】次にステップ96で、ステップ94で抽出
したクロックツリー全経路の遅延値を基に、クロックツ
リー全経路の遅延値とmax値との差を算出する。
【0019】次にステップ97で、ステップ96による
差に基づいて、クロックツリー全経路の遅延値とmax
値との差分のディレイ回路を挿入する。挿入するディレ
イ回路は、あらかじめ用意したディレイ回路ライブラリ
より選択する。次にステップ98で、ステップ97で作
成したディレイ回路セルの配置配線をし、実配線データ
の作成をする。
【0020】次にステップ99で、ステップ98で作成
した実配線データとあらかじめ用意した遅延ライブラリ
を基に、遅延情報及びクロックスキュー値の再作成をし
て、設計を完了100する。
【0021】
【発明が解決しようとする課題】しかしながら上記した
いずれの従来技術も、各々の経路に対して個別の論理セ
ルのライブラリを用意して遅延量を判断し一対一に対応
させているので設計TATが長くなり非効率的である。
【0022】また図10に示すクロックツリーの場合
も、自動ではあるがクロックツリー全回路の遅延値とm
ax値との差を埋めるそれぞれのディレイ回路を挿入す
るので、挿入箇所も多大となりレイアウト修正が出来な
い場合がある。他に、配線長を伸ばすことによって、速
い経路を遅い経路にあわせる方法があるが、レイアウト
で配線が集中している箇所ではレイアウト修正が出来な
い場合がある。
【0023】したがって本発明の目的は、実質的に影響
がない程度にクロックスキュー値を低減させて設計効率
を高めることである。例えば0.35μmルールにおい
て、クロックスキュー値は最大0.4nsでありこれが
誤動作の原因になっているが、これを1/2の0.2n
sまで低減すれば誤動作が発生しないから、0にするこ
とを意図するのではなく設計上許容範囲の、例えば0.
2nsまで低減することで効率的でかつ短いTATの半
導体集積回路のレイアウト設計方法を提供することであ
る。
【0024】本発明の他の目的は、上記レイアウト設計
方法による半導体集積回路を提供することである。
【0025】
【課題を解決するための手段】本発明の特徴は、クロッ
クツリー全経路の遅延値を抽出し、その遅延値の平均値
を算出し、各クロックツリー経路の遅延値と前記平均値
とを比較し、前記遅延値が前記平均値より速い、すなわ
ち小のクロックツリー経路に接続されるフリップ・フロ
ップ回路を一括して遅延値の前記平均値とmax値との
差分の遅延をもつ遅延付きフリップ・フロップ回路に置
換処理する半導体集積回路のレイアウト設計方法にあ
る。ここで、前記遅延付きフリップ・フロップ回路の遅
延は直列接続した複数であり偶数個のインバータで得ら
れていることができる。
【0026】あるいは本発明の特徴は、クロックツリー
全経路の遅延値を抽出し、その遅延値の平均値を算出
し、各クロックツリー経路の遅延値と前記平均値とを比
較し、前記遅延値が前記平均値より速い、すなわち小の
クロックツリー経路に接続されるフリップ・フロップ回
路の前段に一括して遅延値の前記平均値とmax値との
差分の遅延量を有するディレイ回路を挿入する半導体集
積回路のレイアウト設計方法にある。ここで、前記ディ
レイ回路は複数であり偶数個のインバータを直列接続し
た構成を有することができる。
【0027】本発明の他の特徴は、共通のクロック信号
入力端子からクロック信号を入力する複数のフリップ・
フロップ回路を有する半導体集積回路において、前記複
数のフリップ・フロップ回路のうち一群のフリップ・フ
ロップ回路のみが同じ遅延量の遅延付きフリップ・フロ
ップ回路となっており、残りの一群のフリップ・フロッ
プ回路は遅延付きフリップ・フロップ回路となっていな
い半導体集積回路にある。
【0028】あるいは本発明の他の特徴は、共通のクロ
ック信号入力端子からクロック信号を入力する複数のフ
リップ・フロップ回路を有する半導体集積回路におい
て、前記複数のフリップ・フロップ回路のうち一群のフ
リップ・フロップ回路にみの前段には同じ遅延量のディ
レイ回路が挿入されており、残りの一群のフリップ・フ
ロップ回路にはディレイ回路が挿入されていない半導体
集積回路にある。
【0029】
【発明の実施の形態】以下図面を参照して本発明を説明
する。図1は本発明の第1の実施の形態の設計方法のフ
ロー図である。図2は、図1に示した本発明の実施の形
態の遅延値が平均値より速いクロックツリー経路を抽出
した図である。図3は、図1に示した本発明の実施の形
態の遅延値が平均値より速いクロックツリー経路に接続
されるフリップ・フロップ回路を遅延付きフリップ・フ
ロップ回路に置換処理したクロックツリー経路である。
図4は、図1に示した本発明の実施の形態のクロックツ
リー経路の遅延値分布図である。図7(A)は第1の実
施の形態の遅延付きフリップ・フロップ回路を例示する
回路図である。
【0030】図1を参照して本発明の実施の形態の設計
方法を説明する。開始ステップ11の後、ステップ12
で回路設計を行い、ネットリストを作成する。
【0031】次にステップ13で、ステップ12による
ネットリストを使用して、レイアウト工程であるセルの
配置配線を実施し、実配線データの作成をする。
【0032】次にステップ14で、ステップ13におい
て作成した実配線データとあらかじめ用意した遅延ライ
ブラリを基に、配置配線後遅延情報及びクロックスキュ
ー値の作成をする。
【0033】次にステップ15で、ステップ14におい
て作成した配置配線後遅延情報とネットリストを基に、
クロックツリー全経路の遅延値を抽出する。
【0034】次にステップ16で、ステップ15におい
て抽出したクロックツリー全経路の遅延値を基に、加算
平均値を算出をする。
【0035】次にステップ17で、ステップ15による
加算平均値である平均値が遅延量のmax値とmin値
の中心(C)から予め設定してある許容範囲(±ΔC)
内であることを確認する。本発明は図4(A)に示すよ
うに、遅延量の平均値に対して対称に分布(増減方向の
分布が対称)、例えば正規分布をしていることが好まし
く、その目安を得るためにステップ17を行う。もし平
均値が中心から大きくずれていた場合は大きい非対称性
の分布であると判断して後から述べる一括処理を行わず
に個別処理に切り換えることが好ましい。
【0036】許容範囲内である場合、次のステップ18
で、ステップ16において抽出した各々のクロックツリ
ー経路の遅延値と平均値を比較し、ステップ19で平均
値より速いクロックツリー経路を抽出する。
【0037】図2に、抽出されたクロックツリー図を示
す。この図において、クロック発生回路からクロック信
号が送られる共通クロック入力端から、複数(図では1
2個)のフリップ・フロップ回路F/Fにバッフア回路
51〜57を通してクロック信号が供給される。
【0038】そして、フリップ・フロップ回路41,4
2,43,44に到る経路61,62,63,64が平
均値よりも速いクロックツリー経路として抽出される。
図に示すように、経路61は共通クロック入力端からバ
ッフア回路51,52,54を通ってフリップ・フロッ
プ回路41に到る経路であり、経路62は共通クロック
入力端からバッフア回路51,52,55を通ってフリ
ップ・フロップ回路42に到る経路であり、経路63は
共通クロック入力端からバッフア回路51,53,56
を通ってフリップ・フロップ回路43に到る経路であ
り、経路64は共通クロック入力端からバッフア回路5
1,53,57を通ってフリップ・フロップ回路44に
到る経路である。またここでは平均値よりも速いクロッ
クツリー経路を4つで例示したが、12個のフリップ・
フロップ回路の場合は確率的には平均値よりも速いクロ
ックツリー経路は6つになる。
【0039】次にステップ20で、遅延値が平均値より
速いクロックツリー経路に接続されるフリップ・フロッ
プ回路は、平均値とmax値との差分の遅延をもつ遅延
付きフリップ・フロップ回路に一括置換処理する。置換
するフリップ・フロップ回路は、あらかじめ用意した遅
延付きフリップ・フロップ回路ライブラリより選択す
る。
【0040】図3に、一括置換処理されたクロックツリ
ー図を示す。この図3において、経路61,62,6
3,64に接続されるフリップ・フロップ回路41,4
2,43,44が遅延付きフリップ・フロップ回路41
A,42A,43A,44Aに置換されている。すなわ
ち、フリップ・フロップ回路41A,42A,43A,
44Aは互いに同じ遅延量を有する回路である。
【0041】この遅延付きフリップ・フロップ回路41
A,42A,43A,44Aは、例えば図7(A)に示
すように、一対のインバータをたすき掛け接続した本来
のF/F機能の前に2個のインバータIの直列接続体と
2個の容量素子Cからなる遅延機能を付加した回路であ
る。
【0042】この結果、遅延付きフリップ・フロップ回
路の遅延機能も加えた経路の遅延量と経路数の分布は図
4(B)のようになり、1/2に圧縮されたクロックス
キュー値内に分布されることになる。この1/2のクロ
ックスキュー値は誤動作を発生しない許容された範囲で
あるから、許容範囲内では中央よりも両端の数を多くす
ることによりなるべく均一に分布することが全体のバラ
ンスから好ましい回路に本発明を用いるのが好適であ
る。
【0043】次にステップ21で、ステップ20におい
て置換した遅延付きフリップ・フロップ回路セルの配置
配線をし、実配線データの作成をする。
【0044】次にステップ22で、ステップ21におい
て作成した実配線データとあらかじめ用意した遅延ライ
ブラリを基に、遅延情報及びクロックスキュー値の再作
成をして、設計が完了23する。
【0045】図5は本発明の第2の実施の形態の設計方
法のフロー図である。図5においてステップ11〜ステ
ップ19は図1の第1の実施の形態と同様であるから重
複する説明は省略する。
【0046】この第2の実施の形態では、ステップ19
で平均値より速いクロックツリー経路を抽出した後、ス
テップ30で、遅延値が平均値より速いクロックツリー
経路に接続されるフリップ・フロップ回路の前段に、平
均値とmax値との差分の遅延のディレイ回路を一括で
挿入する。挿入するディレイ回路は、あらかじめ用意し
たディレイ回路ライブラリより選択する。
【0047】図6に一括置換処理されたクロックツリー
図を示す。図6において図2と同一もしくは類似の箇所
は同じ符号を付してあるから重複する説明は省略する。
【0048】この図6において、経路61,62,6
3,64に接続されるフリップ・フロップ回路41,4
2,43,44の前段に同じ遅延量、すなわち平均値と
max値との差分の遅延量を有するディレイ回路40を
挿入している。
【0049】このディレイ回路40は、例えば図7
(B)に示すように、4個のインバータIの直列接続体
と4個の容量素子Cから構成されている。
【0050】この場合も、ディレイ回路の遅延量も加え
た経路の遅延量と経路数の分布は図4(B)のようにな
り、クロックスキュー値は半分となる。
【0051】次にステップ31でディレイ回路セルの配
置配線をし、ステップ32で遅延情報及びスキュー値の
再作成を行い、設計が完了23する。
【0052】
【発明の効果】以上説明したように本発明によれば、遅
延値が平均値より速いクロックツリー経路を抽出し、そ
のクロックツリー経路に接続されるフリップ・フロップ
回路を一括して遅延値の平均値とmax値との差分を遅
延をもつ遅延付きフリップ・フロップ回路に置換する、
若しくはそのクロックツリー経路に接続されるフリップ
・フロップ回路の前段に一括して遅延値の平均値とma
x値との差分の遅延量を有するディレイ回路を挿入する
ことでクロックスキュー値を半減することを可能にす
る。
【0053】したがって一つ一つの経路に対応して修正
する従来の技術と比較して少ない工数で実質的に支障が
ないクロックスキュー値の設計をすることができる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態の設計フローを示す
図である。
【図2】本発明の実施の形態のクロックツリー経路を例
示する図である。
【図3】図2のクロックツリー経路に第1の実施の形態
を適用して、一群のフリップ・フロップ回路を一括して
遅延付きフリップ・フロップ回路に置換処理したクロッ
クツリー経路を示す図である。
【図4】本発明の実施の形態のクロックツリー経路の遅
延分布を示す図である。
【図5】本発明の第2の実施の形態の設計フローを示す
図である。
【図6】図2のクロックツリー経路に第2の実施の形態
を適用して、一群のフリップ・フロップ回路の前段に一
括してディレイ回路を挿入処理したクロックツリー経路
を示す図である。
【図7】第1の実施の形態の遅延付きフリップ・フロッ
プ回路(A)および第2の実施の形態のディレイ回路
(B)を例示する図である。
【図8】フリップ・フロップ回路間のクロック信号の遅
延を説明する図である。
【図9】従来技術の設計フローを示す図である。
【図10】他の従来技術の設計フローを示す図である。
【符号の説明】
11〜23,30〜32,81〜87,99〜100
設計の各ステップ 40 ディレイ回路 41〜44 遅延値が平均値より小のクロックツリー
経路に接続されるフリップ・フロップ回路 41A〜44A 遅延付きフリップ・フロップ回路 51〜57 バッフア回路 61〜64 遅延値が平均値より小のクロックツリー
経路 I インバータ回路 C 容量素子 L,M,N 遅延量
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/04 D Fターム(参考) 5B046 AA08 BA06 JA03 5B079 BA20 BC03 CC02 CC08 CC14 DD06 DD13 DD20 5F038 CA03 CA17 CD06 CD08 EZ20 5F064 BB07 BB19 BB26 CC23 DD04 DD25 EE47 EE54 HH06 HH12

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 クロックツリー全経路の遅延値を抽出
    し、その遅延値の平均値を算出し、各クロックツリー経
    路の遅延値と前記平均値とを比較し、前記遅延値が前記
    平均値より小のクロックツリー経路に接続されるフリッ
    プ・フロップ回路を一括して遅延値の前記平均値とma
    x値との差分の遅延量を有する遅延付きフリップ・フロ
    ップ回路に置換処理することを特徴とする半導体集積回
    路のレイアウト設計方法。
  2. 【請求項2】 前記遅延付きフリップ・フロップ回路の
    遅延量は直列接続した複数のインバータで得られている
    ことを特徴とする請求項1記載の半導体集積回路のレイ
    アウト設計方法。
  3. 【請求項3】 クロックツリー全経路の遅延値を抽出
    し、その遅延値の平均値を算出し、各クロックツリー経
    路の遅延値と前記平均値とを比較し、前記遅延値が前記
    平均値より小のクロックツリー経路に接続されるフリッ
    プ・フロップ回路の前段に、一括して遅延値の前記平均
    値とmax値との差分の遅延量を有するディレイ回路を
    挿入することを特徴とする半導体集積回路のレイアウト
    設計方法。
  4. 【請求項4】 前記ディレイ回路は直列接続した複数の
    インバータを有して構成されていることを特徴とする請
    求項3記載の半導体集積回路のレイアウト設計方法。
  5. 【請求項5】 共通のクロック信号入力端子からクロッ
    ク信号を入力する複数のフリップ・フロップ回路を有す
    る半導体集積回路において、前記複数のフリップ・フロ
    ップ回路のうちで一群のフリップ・フロップ回路のみが
    同じ遅延量を有する遅延付きフリップ・フロップ回路と
    なっていることを特徴とする半導体集積回路。
  6. 【請求項6】 共通のクロック信号入力端子からクロッ
    ク信号を入力する複数のフリップ・フロップ回路を有す
    る半導体集積回路において、前記複数のフリップ・フロ
    ップ回路のうちで一群のフリップ・フロップ回路のみの
    前段には同じ遅延量のディレイ回路が挿入されているこ
    とを特徴とする半導体集積回路。
JP2000011295A 2000-01-20 2000-01-20 半導体集積回路のレイアウト設計方法及び半導体集積回路 Expired - Fee Related JP3317948B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000011295A JP3317948B2 (ja) 2000-01-20 2000-01-20 半導体集積回路のレイアウト設計方法及び半導体集積回路
DE10102141A DE10102141C2 (de) 2000-01-20 2001-01-18 Integrierter Halbleiter-Schaltkreis mit einer verminderten Zeitdifferenz und Layout-Verfahren bei der Konstruktion eines integrierten Halbleiter-Schaltkreises
US09/764,295 US6543042B2 (en) 2000-01-20 2001-01-19 Semiconductor integrated circuit with a reduced skew and layout method in design for semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000011295A JP3317948B2 (ja) 2000-01-20 2000-01-20 半導体集積回路のレイアウト設計方法及び半導体集積回路

Publications (2)

Publication Number Publication Date
JP2001203273A true JP2001203273A (ja) 2001-07-27
JP3317948B2 JP3317948B2 (ja) 2002-08-26

Family

ID=18539183

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000011295A Expired - Fee Related JP3317948B2 (ja) 2000-01-20 2000-01-20 半導体集積回路のレイアウト設計方法及び半導体集積回路

Country Status (3)

Country Link
US (1) US6543042B2 (ja)
JP (1) JP3317948B2 (ja)
DE (1) DE10102141C2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017055041A (ja) * 2015-09-11 2017-03-16 ルネサスエレクトロニクス株式会社 半導体装置

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AR020590A1 (es) 1998-06-02 2002-05-22 Cadus Pharmaceutical Corp 7-diazapurina-n-6 sustituida, un metodo para su preparacion, composiciones farmaceuticas que la comprenden
JP2001117967A (ja) * 1999-10-22 2001-04-27 Nec Corp クロック分配設計方法、及び、木構造のバッファ回路
JP4218924B2 (ja) * 2001-02-15 2009-02-04 株式会社日立製作所 半導体集積回路の設計システム
JP4118536B2 (ja) * 2001-07-03 2008-07-16 株式会社東芝 クロック遅延設定方法
DE60139260D1 (de) * 2001-10-29 2009-08-27 Ericsson Telefon Ab L M Optimierung des Entwurfs einer synchronen digitalen Schaltung
US7302657B2 (en) * 2001-10-29 2007-11-27 Telefonaktiebolaget L M Ericsson (Publ) Optimization of the design of a synchronous digital circuit
US6647540B2 (en) * 2001-11-08 2003-11-11 Telefonaktiebolaget Lm Ericsson(Publ) Method for reducing EMI and IR-drop in digital synchronous circuits
US6550045B1 (en) * 2001-11-20 2003-04-15 Lsi Logic Corporation Changing clock delays in an integrated circuit for skew optimization
US6698006B1 (en) * 2001-12-14 2004-02-24 Sequence Design, Inc. Method for balanced-delay clock tree insertion
US6782519B2 (en) * 2001-12-18 2004-08-24 Cadence Design Systems, Inc. Clock tree synthesis for mixed domain clocks
JP2005149313A (ja) * 2003-11-18 2005-06-09 Toshiba Corp 半導体集積回路の設計方法および半導体集積回路
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7818705B1 (en) 2005-04-08 2010-10-19 Altera Corporation Method and apparatus for implementing a field programmable gate array architecture with programmable clock skew
JP4540540B2 (ja) * 2005-05-02 2010-09-08 ルネサスエレクトロニクス株式会社 遅延計算装置
EP2040381A4 (en) * 2006-11-10 2010-08-04 Panasonic Corp INTEGRATED HOLDING SWITCHING AND LAYOUT TECHNOLOGY THEREOF
US20090064067A1 (en) * 2007-08-28 2009-03-05 Silicon Integrated Systems Corp. Method of balancing path delay of clock tree in integrated circuit (ic) layout
KR100892684B1 (ko) * 2007-11-09 2009-04-15 주식회사 하이닉스반도체 데이터 센터 트랙킹 회로 및 이를 포함하는 반도체 집적회로
US8589846B2 (en) * 2011-12-02 2013-11-19 Synopsys, Inc. Modeling transition effects for circuit optimization
JP2015008025A (ja) * 2013-06-25 2015-01-15 マイクロン テクノロジー, インク. 半導体装置
US10275555B1 (en) * 2016-09-29 2019-04-30 Cadence Design Systems, Inc. Yield estimation for a post-layout circuit design
CN116090399B (zh) * 2023-04-06 2023-06-16 中国人民解放军国防科技大学 基于数据输出端建立时间裕量的触发器转换方法及装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE278904C (ja)
DD278904B5 (de) * 1986-07-01 1993-12-02 Univ Dresden Tech Schaltungsanordnung zur rekonstruktion des zeitlichen verlaufs schneller impulsfolgen
US5036230A (en) * 1990-03-01 1991-07-30 Intel Corporation CMOS clock-phase synthesizer
JP2734216B2 (ja) 1991-02-22 1998-03-30 日本電気株式会社 クロックスキュー調整回路内蔵集積回路
JP2695078B2 (ja) * 1991-06-10 1997-12-24 株式会社東芝 データ処理装置クロック信号の分配方法
US5608645A (en) * 1994-03-17 1997-03-04 Vlsi Technology, Inc. Method of finding a critical path in a circuit by considering the clock skew
DE19510038C1 (de) * 1995-03-20 1996-08-14 Siemens Nixdorf Inf Syst Anordnung zum Autokalibrieren der Taktverteilung bei synchronen digitalen Schaltungen
US5774476A (en) * 1997-02-03 1998-06-30 Motorola, Inc. Timing apparatus and timing method for wrapper cell speed path testing of embedded cores within an integrated circuit
US5828870A (en) * 1997-06-30 1998-10-27 Adaptec, Inc. Method and apparatus for controlling clock skew in an integrated circuit
KR100247477B1 (ko) * 1997-06-30 2000-03-15 김영환 비중복 2위상 클럭 간의 스큐 조절을 위한 클럭 장치
JP2001125937A (ja) * 1999-10-25 2001-05-11 Fujitsu Ltd 半導体集積回路装置のレイアウト設計システム、半導体集積回路装置のレイアウト設計方法、およびそのシステムにおける各手段をもしくはその方法における各工程をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017055041A (ja) * 2015-09-11 2017-03-16 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
US20010010092A1 (en) 2001-07-26
DE10102141C2 (de) 2003-10-23
JP3317948B2 (ja) 2002-08-26
DE10102141A1 (de) 2001-10-25
US6543042B2 (en) 2003-04-01

Similar Documents

Publication Publication Date Title
JP3317948B2 (ja) 半導体集積回路のレイアウト設計方法及び半導体集積回路
US6593792B2 (en) Buffer circuit block and design method of semiconductor integrated circuit by using the same
JP2002245109A (ja) 半導体集積回路の設計方法及び設計システム
EP1449302A1 (en) Asynchronous sequencer circuit with flexibly ordered output signal edges
JP2005149313A (ja) 半導体集積回路の設計方法および半導体集積回路
JP2002083000A (ja) 論理回路設計方法及び論理回路
US20080066043A1 (en) Method and system for clock tree generation
JP4967534B2 (ja) 半導体装置のレイアウト方法およびレイアウトプログラム
JP2005184262A (ja) 半導体集積回路及びその製造方法
JP3469046B2 (ja) 機能ブロック、および半導体集積回路装置
JP3116915B2 (ja) クロックネットのレイアウト設計変更方式
JP2004185466A (ja) 半導体集積回路のクロック分配方法および半導体集積回路
JP2001175699A (ja) 半導体集積回路のクロックツリー設計方法
US20020047789A1 (en) Method of designing semiconductor integrated circuit
JP2005116793A (ja) 半導体集積回路及びそのクロック配線方法
JP2001308186A (ja) フリップフロップ追加修正が可能なレイアウト方法およびレイアウトプログラムを記録した記録媒体
JP2000029562A (ja) 半導体集積回路及びクロック供給回路の設計方法
JP2009187110A (ja) クロック分配回路の設計方法
JP2993488B2 (ja) 集積回路の設計方法、集積回路及び記憶媒体
JP2000267752A (ja) 集積回路のためのクロック生成装置および生成方法
JP2004241699A (ja) 半導体集積回路装置の設計方法
JPH10207921A (ja) スキャンパス構成方法
JP2005339200A (ja) 半導体集積回路のクロックツリーレイアウト方法
JP2001034649A (ja) クロック分配方法
JP2006302995A (ja) 半導体集積回路、回路レイアウト装置、回路レイアウト方法および回路レイアウトプログラム

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020514

LAPS Cancellation because of no payment of annual fees