JP2001143981A - コンピュータ統合製造技術 - Google Patents

コンピュータ統合製造技術

Info

Publication number
JP2001143981A
JP2001143981A JP2000231003A JP2000231003A JP2001143981A JP 2001143981 A JP2001143981 A JP 2001143981A JP 2000231003 A JP2000231003 A JP 2000231003A JP 2000231003 A JP2000231003 A JP 2000231003A JP 2001143981 A JP2001143981 A JP 2001143981A
Authority
JP
Japan
Prior art keywords
component
framework
components
life cycle
building block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000231003A
Other languages
English (en)
Other versions
JP2001143981A5 (ja
Inventor
John Arackaparambil
アラッカパラムビル ジョン
Tom Chi
チー トム
Billy Chow
チョウ ビリー
Souza Patrick M D
エム ドスーザ パトリック
Parris Hawkins
ホーキンズ パーリス
Charles Huang
ヒューアン チャールズ
Jett Jensen
ジェンセン ジェット
Badri N Krishnamurthy
エヌ クリシュナムルティー バドリー
Pradeep M Kulkarni
エム クルカルニー プラディープ
Prakash M Kulkarni
エム クルカルニー プラカシュ
Wen Fong Lin
フォン リン ウェン
Shantha Mohan
モハーン シャンター
Bishnu Nandy
ハンディー ビシュヌ
Huey-Shin Yuan
シン ユーアン ヒューイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001143981A publication Critical patent/JP2001143981A/ja
Publication of JP2001143981A5 publication Critical patent/JP2001143981A5/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41845Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by system universality, reconfigurability, modularity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31018Virtual factory, modules in network, can be selected and combined at will
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31202Semiconductor equipment communication standard SECS
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45026Circuit board, pcb
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

(57)【要約】 (修正有) 【課題】IC構造等の半導体装置のコンピュータ管理に
よる一貫生産技術を提供する。 【解決手段】新しい自動生産工場に適用するためのFA
LC(ファクトリーオートメーションライフサイクル)
200と呼ぶシステムにより工場生産の一貫管理を行
う。これはSW(ソフトウェア)開発・統合の段階21
0を経て、生産実行のための工場モデリンク230を活
動させるためにSWはインストールされ220、一貫生
産計画240、製造工程の制御、追跡・監視250とさ
まざまな工場モデルを可動させる。生産結果は、データ
記憶・工程の分散・適応方法等と対応し解析し260S
W・工場モデル・生産計画に適宜フィードバック270
・280・290し、FALC200を改良する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、コンピュータ統合
生産のための技術に関する。
【0002】
【従来の技術】本発明の政府権利 本発明は、NISTによって裁定された、協力協定(Coo
perative Agreement)第70NANB7H3043のも
とで、アメリカ合衆国政府の支援によって創作された。
アメリカ合衆国政府は、本発明に関して一定の権利を有
する。
【0003】IC(集積回路)等の、半導体素子は通
常、トランジスタ、ダイオード、及び半導体材料の単一
の本体の上に集積して組み立てられたレジスタ等の電子
回路エレメントを有する。様々な回路エレメントが、何
百万もの個別の回路エレメントを含むことができる完全
な回路を形成するために、誘導コネクタを通して接続さ
れる。集積回路は典型的には、処理段階のシーケンスを
含む処理において、半導体ウェーハから組み立てられ
る。この処理は、通常はウェーハ組み立て(waferfabri
cation)又はウェーハ・ファブと称され、酸化、エッチ
ング・マスク準備、エッチング、物質堆積、平面化(pl
anarization)、及び清浄等の動作を含む。
【0004】アルミニウム・ゲートPMOS(p-channe
l metal oxide semiconductor transistor)ウェーハ・
ファブ処理40の要約は、W.R.ルニャンら、「半導
体集積回路処理技術」アディソン‐ウェスリ出版社、4
8頁、1994年に記載されているとおり、主たる処理
段階41乃至73を図示している図1に図示されてい
る。これらの主たる処理段階の各々は、典型的にはいく
つかのサブ段階(sub step)を含む。例えば、ウェーハ
・ファブ・チャンバにおけるスパッタリング堆積の手段
によってアルミニウム層を供給するための金属化等の主
たる処理段階は、アメリカ合衆国特許第5,108,5
70号(R.C.ワン、1992年)に開示されてい
る。このスパッタリング堆積処理は、図2に記載の処理
80のサブ段階81乃至97に図示されている。
【0005】図1及び2は、一連のウェーハ・ファブ処
理を示す。平行処理段階を供給するウェーハ・ファブ・
サブ・システム(sub system)を利用することも公知で
ある。前記サブ・システムは、典型的には一つ以上のク
ラスタ・ツールを含む。ここに規定されるクラスタ・ツ
ールは、真空等の制御されたクラスタ・ツール環境を残
さずに、クラスタ・ツール・チャンバにおいてウェーハ
が処理される場合の、チャンバ及びウェーハ取り扱い装
置のシステムを含む。クラスタ・ツールの一例は、中央
チャンバ及び四つの処理チャンバを有する真空装置を利
用する、アメリカ合衆国特許第5,236,868号
(J.ナルマン、1993年)において開示されてい
る。前記中央チャンバにおけるウェーハ取り扱いロボッ
トは、ウェーハを前記中央チャンバから前記チャンバの
各々へと移動させるために、前記処理チャンバの各々の
内側へとアクセスすることができる一方で、ウェーハを
真空環境に維持する。一例において、‘868クラスタ
におけるウェーハは、処理のために最初に清浄チャンバ
に、それからPVD(physical vapor deposition)チ
ャンバに移動され、アニーリング・チャンバ及びその後
にはガス抜きチャンバへの移動が続き、このようにして
一連の処理を利用する。平行に使用されるチャンバにお
けるウェーハを処理するための‘868特許において開
示されているようなクラスタ・ツールを使用することも
公知である。例えば、遅い処理段階が、早い処理段階よ
り先である場合、三つのチャンバが、前記遅い処理段階
のために平行に使用されることができる一方で、第四の
チャンバが前記早い処理段階のために使用される。
【0006】効果的なウェーハ在庫管理(wafer invent
ory management)は、未処理の又は部分的に処理したウ
ェーハの在庫を最小限に維持し、及びそれによってウェ
ーハ・ファブにおいて製造される半導体素子の単位コス
トを最小限にするために必要である。処理中ウェーハの
在庫を最小限にすることは、ウェーハが長く処理段階に
いるほど、それらの生産量は低くなることが公知である
ので、ウェーハ生産量についても有益である。ウェーハ
在庫は典型的には、処理されたウェーハに対する要求の
観点から、スケジュール技術を使用して、例えば処理の
障害を避けるための平行及び一連の処理段階をスケジュ
ールすることによって装置の能力を最大限にする。処理
中のウェーハ在庫管理は、処理中ウェーハ追跡、例えば
ウェーハ・ファブを通してウェーハ・ロット及びウェー
ハ・カセットを追跡することによって容易になること
は、通常の当業者には公知である。ウェーハ・ファブの
効果的な在庫管理はまた、例えば予定されていない管
理、それらの特定された制限外の処理パラメータから生
じる妨害、処理ガス等の要求された物質の利用不可能
性、必要な保全取替え部品の利用不可能性、又はチャン
バ等の処理ツールの利用不可能性によって生じうる予定
されていない動作不可能時間による障害又は妨害の低い
発生率も必要とする。
【発明が解決しようとする課題】
【0007】ウェーハ・ファブの多くのコンポーネント
又はサブ・システムは、高い度合いの処理の信頼性及び
再現性を達成するために、及び生産性を最大化するため
に自動化される。チャンバ等のウェーハ・ファブ・ツー
ルは、前記ツールによって実行される処理を操作するた
めのレシピとして一般的に公知である一組の命令を使用
して、コンピュータによって典型的に制御される。しか
しながら、様々な処理及び度量衡が統合される場合の高
い度合いの自動化は、ウェーハ・ファブ処理の多くの複
雑性及び相互依存性によって、達成が困難である。例え
ば、ピーター・ヴァン・ザンツ「マイクロチップ・ファ
ブリケーション」第三版、マグロウ・ヒル、472頁乃
至478頁、1997年を参照のこと。ウェーハ・ファ
ブ等の生産システムは、MES(manufacturing execut
ions systems、生産実行システム)機能を供給するソフ
トウェアを利用することが知られている。望ましくは、
ウェーハ・ファブMESは、中央化ウェーハ・ファブ管
理及び制御を達成するために、一つの完全なウェーハ・
ファブに対して統合されなければならない。しかしなが
ら、商用ウェーハ・ファブは、典型的には異なる装置製
造業者からの半導体処理ツールを含み、統合MESが開
発されるための試みがなされる場合に、ツール互換性の
難点という結果を生じることが、通常の当業者には知ら
れている。現在使用可能なウェーハ・ファブMESの他
の欠点は、ウェーハ・ファブにおける各処理変化に対す
る拡張ソフトウェア・プログラミングへの必要性、例え
ば異なるウェーハ製品を作るためにレシピを変更し、ツ
ールを加えあるいは取り替え、又は前記ウェーハ・ファ
ブを変更する必要があるということである。
【0008】通常の当業者には、例えばウェーハ・ファ
ブを含む半導体製造装置の機能は、図3に図示された6
の状態等の基本装置状態において規定されることが可能
であることが公知である。「SEMI E10−96、
装置信頼性、アベイラビリティ、及び保全性(Reliabil
ity, Availability, and Maintainability, RAM)の
規定及び測定のための基準」セミコンダクタ・イクイッ
プメント・アンド・マテリアル・インターナショナル
(Semiconductor Equipment and Materials Internatio
nal)(SEMI)出版、1乃至23頁、1996年を
参照のこと。半導体産業は、典型的にはこれら6の装置
状態を使用して、前記機能を実行する者から独立した機
能的な装置問題に基づいて、装置RAM(reliability
availability and maintainability、信頼性、アベイラ
ビリティ、及び保全性)を測定し及び表す。これら6の
基本的装置状態は、非スケジュール時間102(図
3)、未スケジュール動作不可能時間104、スケジュ
ール動作不可能時間106、エンジニアリング(engine
ering)時間108、待機時間110及び製造時間11
2を含む。非スケジュール時間102は、前記装置が使
用されることが予定されていない時間帯、たとえば非稼
動シフト(shift)であることを示す。未スケジュール
動作不可能時間104は、前記装置が、その意図された
機能を実行するための条件にない場合、例えば装置修理
の間の時間帯に関する。スケジュール動作不可能時間1
06は、前記装置は、その機能を実行することができる
が、これ、例えば処理セットアップ又は予防保全等をす
るには有効ではない場合に、発生する。エンジニアリン
グ時間108は、前記装置がエンジニアリング試験、例
えば装置評価を行うために動作する時間帯に関する。待
機時間110は、前記装置がその意図された機能を実行
する状態にあり、及びその機能を実行することができる
にもかかわらず動作しない場合、例えばオペレータがい
ないか、又は関連する情報システムから入力がない場合
の時間帯である。製造状態112は、前記装置がその意
図された機能、例えば通常の製造及び再加工等を実行し
ている時間帯を表す。
【0009】図3を参照すると、合計時間帯114は、
計測される時間の合計時間であり:これは、6の装置状
態102、104、106、108、110及び112
を含む。動作時間116は、状態104、106、10
8、110及び112の合計時間帯に関する。動作時間
116は、状態104及び106を含む装置動作不可能
時間118及び装置動作可能時間120を含む。装置動
作可能時間120は、エンジニアリング時間108及び
待機時間110並びに製造時間112を含む生産時間1
12を含む。
【0010】図4及び5は、図3に記載の6の装置状態
のより詳細な図を示す。SEMIE10−96、1乃至
6頁を参照のこと。図4に記載のとおり、合計時間11
4は、非スケジュール時間102及び動作時間116を
含む。非スケジュール時間102は、非稼動シフト13
0、装置インストール(installation)、変更、再構築
又はアップグレード(upgrading)132、オフライン
・トレーニング(off-line training)132及びシャ
ットダウン(shutdown)又は起動(start-up)時間帯1
36を含む。動作時間116は、図5に図示されたとお
り、装置動作不可能時間118及び装置動作可能時間1
20を含む。装置動作不可能時間118は、未スケジュ
ール動作不可能時間104及びスケジュール動作不可能
時間106を含む。未スケジュール動作不可能時間10
4は、メンテナンス遅延140、修理時間142、消耗
品/化学製品交換144、仕様外入力146に対する動
作不可能時間、又は設備関連動作不可能時間148を含
む。スケジュール動作不可能時間106は、メンテナン
ス遅延150、生産試験152、予防保全154、消耗
品/化学製品交換156、セットアップ(setup)15
8又は設備関連159に対する動作不可能時間に関す
る。
【0011】図5に記載された装置動作可能時間120
は、エンジニアリング時間108及び生産時間122を
含む。エンジニアリング時間108は、処理実験160
及び装置実験162を含む。生産時間110は、待機時
間110及び製造時間112を含む。待機時間110
は、オペレータがいない間の時間180、製品がない間
の時間182、サポート・ツールがない間の時間18
4、又は関連するクラスタ・モジュールが停止している
間の時間186を含む。製造時間112は、通常の製造
時間帯190、サード・パーティ(third party)のた
めの稼動の時間帯192、再加工時間帯194、又はエ
ンジニアリング・ラン(engineering run)時間帯19
6に関する。図3乃至5を参照して記載された様々な装
置状態は、半導体産業におけるRAM関連装置情報を通
信し及び評価するための基礎を供給する。RAM関連装
置情報は、通常の当業者には公知である:装置信頼性、
装置アベイラビリティ、装置保全性及び装置利用性等の
トピックス(topics)を含む。例えばSEMI E10
−96、6乃至11頁を参照のこと。通常は、MES機
能は、ウェーハ・ファブ等の生産システムにおける装置
状態に関する情報を追跡するために使用されることがで
きる。
【0012】半導体材料、処理及び試験技術における進
歩は、IC回路エレメントの全体的な大きさを小さくす
る一方で、単一の本体上でのそれらの数を増やすという
結果を生んだ。これは、各処理段階に対する及び処理段
階の組み合わせあるいは順番に対する高い度合いの製品
及び処理制御を必要とする。このように、処理ガス等の
処理材料における不純物及び微粒子汚染を制御すること
が必要である。また、温度、圧力、ガス流量、処理時間
間隔及び入力スパッタ力等の処理パラメータを制御する
必要がある。図1及び2に示されているとおり、ウェー
ハ・ファブは、あらゆる特定の処理段階の結果が、典型
的には一つ以上の前の処理段階に大いに依存する場合の
処理段階の複雑な順番を含む。例えば、隣接するIC層
における相互接続のためのエッチング・マスクの重ね合
わせ又は配置において間違いがある場合、その結果の相
互接続は、それらの適切な設計された位置にはない。こ
れは、近すぎてパックされて、これらの相互接続の間に
電気的なショート欠陥を形成する相互接続という結果を
生じうる。二つの異なる処理問題が、累積的な効果を有
しうることも公知である。例えば、電気的ショートを生
じるのに充分なほどには拡張されていない相互接続エッ
チング・マスクの配置不良は、前記相互接続マスクが正
しく配置されていた場合に、電気的ショートを生じさせ
ないであろう微粒子の大きさを有する微粒子汚染を許し
てしまう(又は感知されない)仕様から前記処理がやや
はずれている場合に、電気的ショートを発生させること
にさらに寄与するかもしれない。
【0013】上述したような処理及び/又は材料の欠陥
は、通常ウェーハ・ファブ生産量を減少させ、前記生産
量は、特定のファブにおいて製造される受け入れ可能な
ウェーハの率として規定される。処理中試験及び処理パ
ラメータの監視は、一定の処理中製品又は処理問題ある
いは欠陥が、処理中ランにおける介入、例えば処理調整
をしたり又はランを中止させたりすることが必要である
ことを示すかを決定するために利用される。その結果、
製品及び処理制御技術は、ウェーハ・ファブを通して広
範に使用される。可能な場合は、生産量問題は、前記ウ
ェーハ・ファブの生産量を最終的に向上させるために、
特定の製品又は処理問題あるいは欠陥に戻って追跡され
る。高い生産量は、各処理されたウェーハに対する生産
コストを最小化するために、及び電力、化学物質及び水
等の資源を最大限に利用する一方で、廃物再加工又は処
分を最小限にするために、望ましい。
【0014】適切なウェーハ・ファブ制御制限を決定す
るため、及びこれらの制限の中で処理を保全するため
に、SPC(statistical process control、統計的処
理制御)及びSQC(statistical quality control、
統計的品質管理)を使用することが知られている。例え
ば、R.ゾーリック「上質の集積回路生産のハンドブッ
ク」、アカデミック・プレス株式会社、464乃至49
8頁、1991年を参照のこと。ウェーハ・ファブに適
したSPC及びSQC方法論は、制御チャートの使用を
含む。例えば、R.ゾーリックの475乃至498頁参
照のこと。通常の当業者には公知であるとおり、制御チ
ャートは、チャンバ圧力等、ある期間にわたってサンプ
リングされる、一つ以上の選択された処理又は製品変数
の図による表示である。特定の変数の対象値及びその制
御の上限並びに下限は、公知である統計的サンプリング
及び計算方法を使用して、チャートに設計される。前記
変数の観察された値又はいくつかの観察された値の平均
等、統計的に抽出された値が、以前に決定された制御制
限外である場合、前記処理は、制御不能であると考えら
れる。制御制限は、典型的には、例えば2σ又は3σ
等、複数の対象値の平均値の標準偏差に設定される。対
象値は、生産量、処理制御及び製品の質等のウェーハ・
ファブ設計基準に適合するテスト・ラン又は製造ランか
ら抽出される。SPC及びSQCは、上述の前後関係に
おいて使用される場合、同義であると考えられる。R・
ゾーリック、464頁を参照のこと。
【0015】従って、処理制御、品質、生産量、及びコ
スト低下を最適化するために、半導体製造技術の改良さ
れたコンピュータ実行型統合を供給する方法及び技術の
必要性が存在する。また、拡張ソフトウェア・プログラ
ミングなしに、処理又は装置変更を容易にするコンピュ
ータ統合生産システムを通した中央化ウェーハ・ファブ
管理及び制御の必要性もある。
【0016】本発明は、コンピュータ統合生産のため、
特に半導体ウェーハ等の集積回路構造を生産するための
新しい技術を供給する。
【0017】
【課題を解決するための手段】本発明の上記課題は、コ
ンピュータ実行型ファクトリーオートメーションライフ
サイクル(a computer implemented factory automatio
n lifecycle)を規定するための方法であって:a)ラ
イフサイクル活動フレームワーク・コンポーネント(li
fecycle activity framework components)を規定し、
インストールし、及び管理する段階と;b)ファクトリ
ー・モデリング・ライフサイクル活動フレームワーク・
コンポーネント(factory modeling lifecycle activit
y framework components)を規定する段階と;及びc)
生産制御、監視及び追跡ライフサイクル活動フレームワ
ーク・コンポーネントを規定する段階とを具備する方法
によって達成される。
【0018】本発明の方法では、管理ライフサイクル活
動フレームワーク・コンポーネントを規定する段階は、
セキュリティ・コンポーネント(security componen
t)、GUIコンソール・コンポーネント(GUI console
component)、実行並びにライセンス管理コンポーネン
ト(performance and license management componen
t)、及びサガ管理コンポーネント(saga management c
omponent)を含むグループから選択された一つ以上のフ
レームワーク・コンポーネントを規定する段階を具備す
るように構成してもよい。
【0019】本発明の方法では、ファクトリー・モデリ
ング・ライフサイクル活動フレームワーク・コンポーネ
ントを規定する段階は、コンテクスト・レゾリューショ
ン・コンポーネント(context resolution componen
t)、構築管理コンポーネント(configuration managem
ent)及びカレンダ・コンポーネント(calendar compon
ent)を含むグループから選択された一つ以上のフレー
ムワーク・コンポーネントを規定する段階を具備するよ
うに構成してもよい。
【0020】本発明の方法では、生産、制御、監視及び
追跡ライフサイクル活動フレームワーク・コンポーネン
トを規定する段階は、視覚的ワークフロウ・コンポーネ
ント(visual workflow component)、リソース調整コ
ンポーネント(resource coordination component)、
イベント監視コンポーネント(event monitor componen
t)及びリソース表コンポーネント(bill of resources
component)を含むグループから選択された一つ以上の
フレームワーク・コンポーネントを規定する段階を具備
するように構成してもよい。
【0021】本発明の方法では、生産結果ライフサイク
ル活動フレームワーク・コンポーネントの一つ以上の解
析を規定するための方法を追加的に具備するように構成
してもよい。
【0022】本発明の方法では、生産結果ライフサイク
ル活動フレームワーク・コンポーネントの一つ以上の解
析を規定する段階は、データ・マネージャ・コンポーネ
ントの規定を具備するように構成してもよい。
【0023】本発明の方法では、一つ以上の生産結果ラ
イフサイクル活動フレームワーク・コンポーネントと、
ファクトリー・モデリング・ライフサイクル活動フレー
ムワーク・コンポーネントを含むグループから選択され
たコンポーネントとの間の相互干渉を規定するための方
法を追加的に規定するように構成してもよい。
【0024】本発明の方法では、SW開発及び統合ライ
フサイクル活動を追加的に規定するように構成してもよ
い。
【0025】本発明の方法では、生産計画ライフサイク
ル活動を追加的に規定するように構成してもよい。
【0026】本発明の方法では、ファクトリーオートメ
ーションライフサイクルを規定する段階は、集積回路構
造を処理するためのファクトリーオートメーションライ
フサイクルを規定する段階を具備するように構成しても
よい。
【0027】本発明の方法では、ファクトリーオートメ
ーションライフサイクルを規定する段階はさらに、前記
フレームワーク・コンポーネントが、ツール統合コンポ
ーネント(tool integration component)と通信するた
めに適応するように、フレームワーク・コンポーネント
を規定する段階を追加的に具備し、前記フレームワーク
・コンポーネントは、インストール及び管理ライフサイ
クル活動フレームワーク・コンポーネント、ファクトリ
ー・モデリング・ライフサイクル活動フレームワーク・
コンポーネント、及び生産、制御、監視及び追跡ライフ
サイクル活動フレームワーク・コンポーネントを含むグ
ループから選択されるように構成してもよい。
【0028】本発明の方法では、生産制御、監視及び追
跡ライフサイクル活動コンポーネントを規定する段階
は、視覚的ワークフロウ・コンポーネントを規定する段
階を具備するように構成してもよい。
【0029】また、本発明の上記課題は、一つ以上のコ
ンピュータを含む処理システムを管理するための方法で
あって、a)一つ以上のコンピュータの手段によって一
つ以上のフレームワーク・ソフトウェア・コンポーネン
トを含むファクトリーオートメーションライフサイクル
をランさせる段階と;b)前記システムを管理するため
の一つ以上のコンピュータ実行型命令を供給するための
一つ以上のアプリケーション・ソフトウェア・コンポー
ネントをランさせる段階であって、前記一つ以上のフレ
ームワーク・コンポーネントが、前記アプリケーション
・コンポーネントを管理するために適応する前記段階
と;c)前記一つ以上の命令が、変更される必要がある
かを決定する段階と;d)前記命令が変更される必要が
ない場合に、前記一つ以上の命令を前記システムに通信
する段階と;e)それによって変更された命令を形成す
る一つ以上のフレームワーク・コンポーネントの手段に
よって、それらが変更される必要がある場合に命令を変
更する段階と;及びf) 前記変更された命令を前記シ
ステムに通信する段階とを具備する方法によって達成さ
れる。
【0030】本発明の方法では、前記システムにおいて
前記一つ以上の命令を実行することによって、前記処理
システムを管理する段階を追加的に具備するように構成
してもよい。
【0031】本発明の方法では、前記フレームワーク・
コンポーネントの一つ以上をランする段階は、セキュリ
ティ・コンポーネント、GUIコンソール・コンポーネ
ント、実行並びにライセンス管理コンポーネント、サガ
管理コンポーネント、コンテクスト・レゾリューション
・コンポーネント、構築管理コンポーネント、カレンダ
・コンポーネント、視覚的ワークフロウ・コンポーネン
ト、リソース調整コンポーネント、イベント監視コンポ
ーネント、リソース表コンポーネント、及びデータ・マ
ネージャ・コンポーネントを含むグループから選択され
た一つ以上のコンポーネントをランさせる段階を具備す
るように構成してもよい。
【0032】本発明の方法では、一つ以上のアプリケー
ション・コンポーネントをランさせる段階は、品質管理
コンポーネント、ツール統合コンポーネント、装置管理
コンポーネント、レシピ管理コンポーネント、発送(di
spatching)並びにスケジュール・コンポーネント、材
料取り扱いコンポーネント(material handling compon
ent)、進行中作業コンポーネント(work in progress
component)、及びレガシー・システム・インターフェ
ース・コンポーネント(legacy system interface comp
onent)を含むグループから選択された一つ以上のコン
ポーネントをランさせる段階を具備するように構成して
もよい。
【0033】本発明の方法では、通信段階は、ツール統
合コンポーネントの手段によって通信する段階を具備す
るように構成してもよい。
【0034】本発明の方法では、通信段階は、a)ツー
ル・インターフェース・プログラムと;及びb)ツール
統合コンポーネント・アダプタとの手段による通信段階
を具備するように構成してもよい。
【0035】本発明の方法では、前記一つ以上のコンピ
ュータ実行型命令は、視覚的フレームワーク・コンポー
ネント命令であるように構成してもよい。
【0036】本発明の方法では、サーバ構築ビルディン
グ・ブロック(server construction building bloc
k)、持続性ビルディング・ブロック(persistence bui
lding block)、共通GUI制御ビルディング・ブッ
ク、パブリッシュ・サブスクライブ・メッセージ・ビル
ディング・ブロック(publish and subscribe messagin
g building block)、ダイナミックAPI発見ビルディ
ング・ブロック(dynamic API discovery building blo
ck)、関連ビルディング・ブロック(associations bui
lding block)、履歴ビルディング・ブロック、汎用サ
ービス実行者ビルディング・ブロック(generic servic
e executor building block)、分類ビルディング・ブ
ロック(classification building block)、顧客規定
属性ビルディング・ブロック(customer defined attri
butes building block)、状態モデル・ビルディング・
ブロック、ネーム空間ビルディング・ブロック、スケジ
ュール/デートブック・ビルディング・ブロック、テン
プレート・ビルディング・ブロック(templates buildi
ng block)、変形オブジェクト・ビルディング・ブロッ
ク(versioned objects building block)、及びナビゲ
ーション・ビルディング・ブロックを含むグループから
選択されたひとつ以上のソフトウェア・ビルディング・
ブロックの手段によって、一つ以上のフレームワーク・
コンポーネントを形成する段階を追加的に具備するよう
に構成してもよい。
【0037】本発明の方法では、一つ以上のフレームワ
ーク・コンポーネントをランさせる段階は、前記一つ以
上のフレームワーク・コンポーネントの一つのデータ構
造を、フレームワーク・コンポーネント及びアプリケー
ション・コンポーネントを含むグループから選択された
前記一つ以上のコンポーネントの一つのデータ構造に通
信する手段を追加的に具備するように構成してもよい。
【0038】本発明の方法では、前記命令を変更する段
階は、データを入力する段階を具備するように構成して
もよい。
【0039】本発明の方法では、処理システムを管理す
る段階は、集積回路構造を処理するためのシステムを管
理する段階を具備するように構成してもよい。
【0040】本発明の方法では、前記システムは、一つ
以上のウェーハ組み立てツールを具備するように構成し
てもよい。
【0041】また、本発明の上記課題は、ソフトウェア
・ウレームワークを装置にリンクするための方法であっ
て、a)ツール・インターフェース・プログラムと;及
びb)ツール統合コンポーネント・アダプタとを含むツ
ール統合コンポーネントの手段によってリンクする段階
を具備する方法によって達成される。
【0042】本発明の方法では、リンク段階は、a)前
記一つ以上のフレームワーク・コンポーネントと;b)
前記ツール統合コンポーネント・アダプタと;及びc)
前記ツール・インターフェース・プログラムとの間の
メッセージの交換段階を具備するように構成してもよ
い。
【0043】本発明の方法では、前記一つ以上のフレー
ムワーク・コンポーネントは、視覚的ワークフロウ・コ
ンポーネントを具備するように構成してもよい。
【0044】また、本発明の上記課題は、製品を処理す
るための方法であって、a)前記製品を処理するための
仕様を決定する段階と;及びb)(1)一つ以上のフレ
ームワーク・コンポーネントを有するファクトリーオー
トメーションライフサイクルと(2)前記フレームワー
ク・コンポーネントが、前記アプリケーション・コンポ
ーネントを管理するために適応する一つ以上のコンポー
ネントとを含む分散型ファクトリー・システム・フレー
ムワークの手段によって、前記処理を管理する段階とを
具備する方法によって達成される。
【0045】本発明の方法では、管理段階は:a)前記
仕様に適合するために、前記分散型ファクトリー・シス
テム・フレームワークが変更される必要があるかを決定
する段階と;及びb)前記分散型ファクトリー・システ
ム・フレームワークが変更される必要がある場合、一つ
以上のアプリケーション・コンポーネントを変更する段
階とを追加的に具備するように構成してもよい。
【0046】本発明の方法では、変更段階は、データを
入力する段階を具備するように構成してもよい。
【0047】本発明の方法では、管理段階は、一つ以上
のソフトウェア・ビルディング・ブロックの手段によっ
て、一つ以上のフレームワーク・コンポーネントを形成
する段階を追加的に具備するように構成してもよい。
【0048】本発明の方法では、管理段階は:a)前記
一つ以上のアプリケーション・コンポーネントの手段に
よって、管理のための一つ以上のコンピュータ実行型命
令を形成する段階と;b)前記一つ以上の命令を、前記
製品を処理するための装置に通信する段階と;及びc)
前記一つ以上の命令を前記装置で実行する段階とを追加
的に具備するように構成してもよい。
【0049】本発明の方法では、通信段階は、ツール統
合コンポーネントの手段による通信段階を具備し、前記
ツール統合コンポーネントは:(1)ツール統合コンポ
ーネント・アダプタ及び(2)ツール・インターフェー
ス・プログラムを具備するように構成してもよい。
【0050】本発明の方法では、製品を処理する段階
は、集積回路構造を処理する段階を具備するように構成
してもよい。
【0051】また、本発明の上記課題は、ウェーハ・フ
ァブ・ランを開始するための方法であって:a)前記ウ
ェーハ・ファブ・ランを処理するための処理段階のシー
ケンスを決定する段階と;b)(1)フレームワーク・
コンポーネント及び(2)アプリケーション・コンポー
ネントを有する分散型ファクトリー・システム・フレー
ムワークに含まれる視覚的ワークフロウ・コンポーネン
トにおいて、前記シーケンスを規定するワークフロウを
形成する段階と;及びc)進行中作業管理コンポーネン
ト又はGUIコンソール・コンポーネントの中のGUI
であるサービスの手段によって、前記視覚的ワークフロ
ウ・コンポーネントがランを開始するように要求する段
階とを具備する方法によって達成される。
【0052】また本発明の上記課題は、製品を処理する
ための装置であって、a)製品処理装置と;b)少なく
とも一つの電子データ処理のための中央処理装置と;
c)前記中央処理装置を前記製品処理装置に動作可能に
リンクするためのリンクと;d)デジタル・コード化さ
れたデータ構造を記録するためのメモリであって、前記
少なくとも一つの中央処理装置に動作可能にリンクされ
るメモリと;及びe)データ構造は:(1)管理ライフ
サイクル活動フレームワーク・コンポーネントと、
(2)ファクトリー・モデリング・ライフサイクル活動
フレームワーク・コンポーネントと、及び(3)生産制
御及び追跡ライフサイクル活動フレームワーク・コンポ
ーネントとを含むファクトリーオートメーションライフ
サイクルを具備することを特徴とする、メモリに記録さ
れたデジタル・コード化された第一のデータ構造とを具
備する装置によって達成される。
【0053】本発明の装置では、前記管理ライフサイク
ル活動フレームワーク・コンポーネントは、セキュリテ
ィ・コンポーネント、GUIコンソール・コンポーネン
ト、実行並びにライセンス管理コンポーネント及びサガ
管理コンポーネントを含むグループから選択された一つ
以上のフレームワーク・コンポーネントを具備するよう
に構成してもよい。
【0054】本発明の装置では、前記ファクトリー・モ
デリング・ライフサイクル活動フレームワーク・コンポ
ーネントは、コンテクスト・レゾリューション・コンポ
ーネント、構築管理コンポーネント及びカレンダ・コン
ポーネントを含むグループから選択されたひとつ以上の
フレームワーク・コンポーネントを具備するように構成
してもよい。
【0055】本発明の装置では、生産制御及び追跡ライ
フサイクル活動フレームワーク・コンポーネントは、視
覚的ワークフロウ・コンポーネント、リソース調整コン
ポーネント、イベント監視コンポーネント及びリソース
表コンポーネントを含むグループから選択された一つ以
上のフレームワーク・コンポーネントを具備するように
構成してもよい。
【0056】本発明の装置では、生産結果ライフサイク
ル活動フレームワーク・コンポーネントの一つ以上の解
析を追加的に具備するように構成してもよい。
【0057】本発明の装置では、生産結果ライフサイク
ル活動フレームワーク・コンポーネントの前記一つ以上
の解析は、データ・マネージャ・コンポーネントを具備
するように構成してもよい。
【0058】本発明の装置では、アプリケーション・コ
ンポーネントを含むデジタル・コード化された第二のデ
ータ構造を追加的に具備し、前記第一のデータ構造は前
記第二のデータ構造を管理するために適応するように構
成してもよい。
【0059】本発明の装置では、前記フレームワーク・
コンポーネントの一つ以上を形成するためのソフトウェ
ア・ビルディング・ブロックを含むデジタル・コード化
された第三のデータ構造を追加的に具備するように構成
してもよい。
【0060】本発明の装置では、前記第一のデータ構造
は:a)GUIコンソール・コンポーネントを含むデジ
タル・コード化された第四のデータ構造と;及びb)構
築管理コンポーネントを含むデジタル・コード化された
第五のデータ構造とを具備するように構成してもよい。
【0061】本発明の装置では、前記第四の及び第五の
データ構造は、相互干渉のために適応するように構成し
てもよい。
【0062】本発明の装置では、前記リンクは:(1)
ツール統合コンポーネント・アダプタと及び(2)ツー
ル・インターフェース・プログラムとを含むツール統合
コンポーネントを具備するように構成してもよい。
【0063】本発明の装置では、集積回路構造を処理す
るための装置を具備するように構成してもよい。
【0064】また、本発明の上記課題は、製品を処理す
るための装置であって、a)製品処理装置と;b)少な
くとも一つの電子データ処理のための中央処理装置と;
c)前記中央処理装置を前記製品処理装置に動作可能に
リンクするためのリンクと;d)デジタル・コード化さ
れたデータ構造を記録するためのメモリであって、前記
少なくとも一つの中央処理装置に動作可能にリンクされ
るメモリと;及びe)前記製品処理を管理するための分
散型ファクトリー・システム・フレームワークであっ
て:(1)デジタル・コード化されたフレームワーク・
コンポーネントを含むファクトリーオートメーションラ
イフサイクルを含む、デジタル・コード化された第一の
データ構造と、(2)デジタル・コード化された命令を
前記処理装置に通信するように適応したアプリケーショ
ン・コンポーネントを具備するデジタル・コード化され
た第二のデータ構造であって、前記第一のデータ構造は
前記第二のデータ構造を管理するように適応する前記第
二のデータ構造と、及び(3)前記デジタル・コード化
された命令を前記処理装置に通信するためのリンクとを
具備する分散型ファクトリー・システム・フレームワー
クとを具備する装置によって達成される。
【0065】本発明の装置では、集積回路構造を処理す
るための装置を具備するように構成してもよい。
【0066】また、本発明の上記課題は、処理システム
を管理するための分散型ファクトリー・システム・フレ
ームワークであって:a)デジタル・コード化されたフ
レームワーク・コンポーネントを具備するデジタル・コ
ード化された第一のデータ構造と;b) デジタル・コ
ード化された命令を前記処理システムに通信するように
適応したアプリケーション・コンポーネントを具備する
デジタル・コード化された第二のデータ構造で、前記第
一のデータ構造は、前記第二のデータ構造を管理するよ
うに適応する前記第二のデータ構造と;及びc) 前記
デジタル・コード化された命令を前記処理システムに通
信するためのリンクとを具備する分散型ファクトリー・
システム・フレームワークによって達成される。
【0067】本発明のシステム・フレームワークでは、
前記フレームワーク・コンポーネントは、セキュリティ
・コンポーネント、GUIコンソール・コンポーネン
ト、実行並びにライセンス管理コンポーネント、サガ管
理コンポーネント、コンテクスト・レゾリューション・
コンポーネント、構築管理コンポーネント、カレンダ・
コンポーネント、視覚的ワークフロウ・コンポーネン
ト、リソース調整コンポーネント、イベント監視コンポ
ーネント、リソース表コンポーネント、及びデータ・マ
ネージャ・コンポーネントを含むグループから選択され
た一つ以上のコンポーネントを具備するように構成して
もよい。
【0068】本発明のシステム・フレームワークでは、
前記アプリケーション・コンポーネントは、品質管理コ
ンポーネント、ツール統合コンポーネント、装置管理コ
ンポーネント、レシピ管理コンポーネント、発送並びに
スケジュール・コンポーネント、材料取り扱いコンポー
ネント、進行中作業コンポーネント、及びレガシー・シ
ステム・インターフェース・コンポーネントを含むグル
ープから選択された一つ以上のコンポーネントを具備す
るように構成してもよい。
【0069】本発明のシステム・フレームワークでは、
前記リンクは、ツール統合コンポーネントを含む第四の
データ構造を具備するように構成してもよい。
【0070】本発明のシステム・フレームワークでは、
前記第四のデータ構造は:a)ツール・インターフェー
ス・プログラム第五データ構造と;及びb)ツール統合
コンポーネント・アダプタ第六データ構造とを具備する
ように構成してもよい。
【0071】本発明のシステム・フレームワークでは、
サーバ構築ビルディング・ブロック、持続性ビルディン
グ・ブロック、共通GUI制御ビルディング・ブック、
パブリッシュ・サブスクライブ・メッセージ・ビルディ
ング・ブロック、ダイナミックAPI発見ビルディング
・ブロック、関連ビルディング・ブロック、履歴ビルデ
ィング・ブロック、汎用サービス実行者ビルディング・
ブロック、分類ビルディング・ブロック、顧客規定属性
ビルディング・ブロック、状態モデル・ビルディング・
ブロック、ネーム空間ビルディング・ブロック、スケジ
ュール/デートブック・ビルディング・ブロック、テン
プレート・ビルディング・ブロック、変形オブジェクト
・ビルディング・ブロック、及びナビゲーション・ビル
ディング・ブロックを含むグループから選択されたひと
つ以上のソフトウェア・ビルディング・ブロックを追加
的に具備するように構成してもよい。
【0072】また、本発明の上記課題は、a)管理ライ
フサイクル活動フレームワーク・コンポーネントと;
b)ファクトリー・モデリング・ライフサイクル活動フ
レームワーク・コンポーネントと;及びc)生産制御及
び追跡ライフサイクル活動フレームワーク・コンポーネ
ントとを有するファクトリーオートメーションライフサ
イクルを含むデジタル・コード化された第一のデータ構
造を具備するデータ記憶装置によって達成される。
【0073】本発明のデータ記憶装置では、前記管理ラ
イフサイクル活動フレームワーク・コンポーネントは、
セキュリティ・コンポーネント、GUIコンソール・コ
ンポーネント、実行並びにライセンス管理コンポーネン
ト、及びサガ管理コンポーネントを具備するグループか
ら選択された一つ以上のフレームワーク・コンポーネン
トを具備するように構成してもよい。
【0074】本発明のデータ記憶装置では、前記ファク
トリー・モデリング・ライフサイクル活動フレームワー
ク・コンポーネントは、コンテクスト・レゾリューショ
ン・コンポーネント、構築管理コンポーネント、及びカ
レンダ・コンポーネントを具備するグループから選択さ
れた一つ以上のフレームワーク・コンポーネントを具備
するように構成してもよい。
【0075】本発明のデータ記憶装置では、前記生産制
御並びに追跡ライフサイクル活動フレームワーク・コン
ポーネントは、視覚的ワークフロウ・コンポーネント、
リソース調整コンポーネント、イベント監視コンポーネ
ント、及びリソース表コンポーネントを具備するグルー
プから選択された一つ以上のフレームワーク・コンポー
ネントを具備するように構成してもよい。
【0076】本発明のデータ記憶装置では、生産結果ラ
イフサイクル活動フレームワーク・コンポーネントの一
つ以上の解析を追加的に具備するように構成してもよ
い。
【0077】本発明のデータ記憶装置では、前記生産結
果ライフサイクル活動フレームワーク・コンポーネント
の一つ以上の解析は、データ・マネージャ・コンポーネ
ントを具備するように構成してもよい。
【0078】本発明のデータ記憶装置では、GUIコン
ソール・フレームワーク・コンポーネントと相互干渉す
るために適応する複数のフレームワーク・コンポーネン
トを具備するように構成してもよい。
【0079】本発明のデータ記憶装置では、アプリケー
ション・コンポーネントを含むデジタル・コード化され
た第二のデータ構造を追加的に具備し、前記第一のデー
タ構造は、前記第二のデータ構造を管理するために適応
するように構成してもよい。
【0080】本発明のデータ記憶装置では、前記フレー
ムワーク・コンポーネントの一つ以上を形成するための
ソフトウェア・ビルディング・ブロックを含むデジタル
・コード化された第三のデータ構造を追加的に具備する
ように構成してもよい。
【0081】本発明のデータ記憶装置では、前記第一
の、第二の、及び第三のデータ構造は、集積回路構造を
処理するために適応するように構成してもよい。
【0082】また、本発明の上記課題は、a)デジタル
・コード化されたフレームワーク・コンポーネントを含
むファクトリーオートメーションライフサイクルを具備
するデジタル・コード化された第一のデータ構造と;及
びb)アプリケーション・コンポーネントを具備するデ
ジタル・コード化された第二のデータ構造で、前記第一
のデータ構造は、前記第二のデータ構造を変更するため
に適応する前記第二のデータ構造とを具備するデータ記
憶装置によって達成される。
【0083】本発明のデータ記憶装置では、前記フレー
ムワーク・コンポーネントは、セキュリティ・コンポー
ネント、GUIコンソール・コンポーネント、実行並び
にライセンス管理コンポーネント、サガ管理コンポーネ
ント、コンテクスト・レゾリューション・コンポーネン
ト、構築管理コンポーネント、カレンダ・コンポーネン
ト、視覚的ワークフロウ・コンポーネント、リソース調
整コンポーネント、イベント監視コンポーネント、リソ
ース表コンポーネント、及びデータ・マネージャ・コン
ポーネントを具備するグループから選択された一つ以上
のコンポーネントを具備するように構成してもよい。
【0084】本発明のデータ記憶装置では、前記アプリ
ケーション・コンポーネントは、品質管理コンポーネン
ト、ツール統合コンポーネント、装置管理コンポーネン
ト、レシピ管理コンポーネント、発送並びにスケジュー
ル・コンポーネント、材料取り扱いコンポーネント、進
行中作業コンポーネント、及びレガシー・システム・イ
ンターフェース・コンポーネントを具備するグループか
ら選択された一つ以上のコンポーネントを具備するよう
に構成してもよい。
【0085】本発明のデータ記憶装置では、サーバ構築
ビルディング・ブロック、持続性ビルディング・ブロッ
ク、共通GUI制御ビルディング・ブック、パブリッシ
ュ・サブスクライブ・メッセージ・ビルディング・ブロ
ック、ダイナミックAPI発見ビルディング・ブロッ
ク、関連ビルディング・ブロック、履歴ビルディング・
ブロック、汎用サービス実行者ビルディング・ブロッ
ク、分類ビルディング・ブロック、顧客規定属性ビルデ
ィング・ブロック、状態モデル・ビルディング・ブロッ
ク、ネーム空間ビルディング・ブロック、スケジュール
/デートブック・ビルディング・ブロック、テンプレー
ト・ビルディング・ブロック、変形オブジェクト・ビル
ディング・ブロック、及びナビゲーション・ビルディン
グ・ブロックを含むグループから選択されたひとつ以上
のソフトウェア・ビルディング・ブロックを含む、デジ
タル・コード化された第三のデータ構造を追加的に具備
するように構成してもよい。
【0086】
【発明の実施の形態】本発明の一つの実施形態におい
て、開発及び統合、インストール及び管理、ファクトリ
ー・モデリング、生産計画、生産制御、監視及び追跡の
ためのライフサイクル活動、及び生産結果を解析するた
めのライフサイクル活動のためのSWを含む、新しいフ
ァクトリーオートメーションライフサイクルが供給され
る。生産結果ライフサイクル活動の解析からの出力は、
ファクトリー・モデリング・ライフサイクル活動等の他
のライフサイクル活動への入力を供給することができ
る。フレームワーク・コンポーネントは、様々なライフ
サイクル活動と関連する。
【0087】本発明の他の実施形態においては、フレー
ムワーク・ソフトウェア・コンポーネント、アプリケー
ション・ソフトウェア・コンポーネント及びソフトウェ
ア・ビルディング・ブロックの利用を含む、処理システ
ムの管理をするための新しい方法が供給される。前記ア
プリケーション・コンポーネントは、前記システムを管
理するための命令を供給する一方で、前記フレームワー
ク・コンポーネントは、前記アプリケーション・コンポ
ーネントを管理するために使用される。前記ビルディン
グ・ブロックは、フレームワーク及びアプリケーション
・コンポーネントを形成し又は変更するために適応す
る。ファクトリーオートメーションライフサイクルは、
フレームワーク・コンポーネントを含む。新しいツール
統合コンポーネントは、命令を前記システムの処理ツー
ルに通信するための新しい方法によって使用される。前
記ツール統合コンポーネントは、ツール・インターフェ
ース・プログラム及びツール統合コンポーネント・アダ
プタを具備する。前記システムを管理するための命令
は、データを入力することによって変更されることがで
きる。
【0088】本発明のさらに他の実施形態においては、
製品を処理するための新しい方法は、前記製品を処理
し、そしてフレームワーク・コンポーネント、アプリケ
ーション・コンポーネント、及びSWビルディング・ブ
ロックを含む新しい分散型ファクトリー・システム・フ
レームワークの手段によって、前記処理を管理するため
の仕様を決定する段階を含む。前記新しい分散型ファク
トリー・システムは、必要であれば、データを入力する
ことによって変更されることができる。管理のためのコ
ンピュータ実行型命令は、アプリケーション・コンポー
ネントによって形成される。これらの命令は、例えばツ
ール統合コンポーネントを利用することによって、製品
を生産するための処理に通信される。前記命令はそれか
ら、例えば集積回路構造を組み立てるための処理におい
て実行される。
【0089】本発明のさらに他の実施形態においては、
ウェーハ・ファブ・ランを起動するための新しい方法
は、処理段階のシーケンスを決定し、及びその後視覚的
ワークフロウ・コンポーネントにおいてこのシーケンス
を規定するワークフロウを形成する段階を含む。前記視
覚的ワークフロウ・コンポーネントは、フレーム・コン
ポーネント及びアプリケーション・コンポーネントを具
備する新しい分散型ファクトリー・システム・フレーム
ワークに含まれる。進行中作業管理コンポーネント又は
GUIの手段によってランを起動させるために、前記視
覚的ワークフロウ・コンポーネントに対して要求がなさ
れる。
【0090】本発明の他の実施形態においては、製品処
理装置、中央処理装置、前記処理装置を前記中央処理装
置に動作可能にリンクするためのリンク、デジタル・コ
ード化されたデータ構造を記憶するためのメモリ、及び
新しいファクトリーオートメーションライフサイクルを
具備するデータ構造を含む装置が供給される。本実施形
態はまた、アプリケーション・コンポーネント及びビル
ディング・ブロック・コンポーネントを含むデータ構造
を備える。
【0091】本発明のさらに他の実施形態において、フ
レームワーク・コンポーネントを具備するデジタル・コ
ード化された第一のデータ構造、アプリケーション・コ
ンポーネントを含む第二のデータ構造、及びデジタル・
コード化された命令を前記処理システムに通信するため
のリンクを含む、処理システムを管理するための分散型
ファクトリー・システム・フレームワークが供給され
る。
【0092】本発明のさらに他の実施形態においては、
処理装置及び処理システムを管理するための新しい分散
型ファクトリー・システム・フレームワークを具備する
新しい装置が供給される。
【0093】本発明の追加の実施形態においては、新し
いファクトリーオートメーションライフサイクル活動デ
ータ構造、フレームワーク・コンポーネント・データ構
造、アプリケーション・コンポーネント・データ構造及
びビルディング・ブロック・データ構造等のデータ構造
を具備する新しいデータ記憶装置が供給される。
【0094】
【実施例】本発明及びその実施形態を説明する一方で、
明確にする目的で、一定の専門用語が使用されるであろ
う。前記専門用語は、列挙された実施形態をすべての相
当するもの(equivalents)と同様に含むことを意図す
る。
【0095】本発明の一つの実施形態において、IC
(集積回路)構造等の半導体構造を処理又は組み立てる
ためのウェーハ・ファブ等のシステム又は設備を処理、
生産又は組み立てにおいて存在することができる装置段
階、決定段階及びデータ段階を具備するファクトリー・
MES(manufacturing execution system(s)、生産実
行システム)を自動化し、統合し及び調整するためのD
FS/F(distributedfactory system framework、分
散型ファクトリー・システム・フレームワーク)SW
(software、ソフトウェア)が供給される。ここで規定
されている「FW(framework、フレームワーク)」と
いう表現は、機能性又は一組のサービスを供給するリン
クされたSW構造、コンポーネント又はクラスの集合を
含む。ここで規定されている「MES」という表現は、
処理関連タスクを起動させ、進行中作業を管理及び/又
は制御し、及び任意で試験及びデータ収集タスクを含
む、処理/生産/組み立てタスクの実行のための材料、
装置、情報及び履歴データ等のリソースの使用を容易に
するためのSWデータ構造の集まりを含む。ここで規定
されている「IC構造」という表現は、完全に形成され
たIC及び部分的に形成されたICを含む。
【0096】本発明のDFS/Fは、図6に記載の、統
合ファクトリーオートメーションMESのための全体の
構造を形成するための新しいFALC(factory automa
tion lifecycle、ファクトリーオートメーションライフ
サイクル)を含む。FALC200は、処理、生産、又
は組み立てシステムあるいは設備の様々な生産関連する
特徴を統合し、自動化し、管理し、又は制御し、それに
よってファクトリー・モデルを形成するために適応す
る。これらの特徴は、ウェーハ・ファブ・ツール等のウ
ェーハ・ファブ関連装置、ウェーハ・ファブ処理・プロ
シージャ(wafer fab processing procedures)等の方
法、ウェーハ・ファブ処理ガス等の材料、処理中ウェー
ハ在庫管理等の在庫管理、処理中作業状態決定、処理中
試験データの評価、SPC(statistical process cont
rol、統計処理制御)等の装置機能及び品質管理特性の
監視を含むことができる。新しいライフサイクルは追加
的に、いくつかのツールが統合されている場合に、調整
された生産又は組み立て設備を供給するための異なるツ
ール、装置、又はソフトウェア供給者からのツール、装
置又はソフトウェアの統合を容易にする。
【0097】図6に記載の新しいFALC200は、S
W開発及び統合ライフサイクル活動210、インストー
ル及び管理ライフサイクル活動220、ファクトリー・
モデリング・ライフサイクル活動230、生産計画ライ
フサイクル活動240、生産制御、監視及び追跡ライフ
サイクル活動250、及び生産結果ライフサイクル活動
の解析260を含む。図6に図示されたとおり、生産結
果ライフサイクル活動の解析260からの選択された出
力は、SW開発及び統合ライフサイクル活動210、フ
ァクトリー・モデリング・ライフサイクル活動230及
び生産計画ライフサイクル活動240等のライフサイク
ルの他のライフサイクル活動へフィードバックを供給す
ることができる。これらのライフサイクル活動の間の出
力及び入力相互干渉は、生産結果を解析するためのライ
フサイクル活動260の記載を参照して、より詳細に記
載されるであろう。
【0098】本発明のFALC200の様々なライフサ
イクル活動は、SWを具備する。ハードウェア部品、装
置又はアセンブリは、FALC200の6のライフサイ
クル活動の機能性を供給する、SWをサポートし、操作
し、又は利用するために必要である。前記FALC20
0ソフトウェアは、FWSWコンポーネントを含む。前
記FWコンポーネントは、共通のシステム又はファクト
リー・操業規則及びサービスを規定し、及びオン・ボー
ド・ウェーハ・ファブ・ツール・コントローラ(on-boa
rd wafer fab tool controllers)等の処理装置の制御
システムとの相互干渉を通して、処理機能又はシステ
ム、例えば組み立て設備及び様々なウェーハ・ファブ・
ツールの組み合わせを含むファクトリー・を管理/制御
するアプリケーションSWコンポーネントへとサービス
を供給するためにそれらは使用される。アプリケーショ
ン・コンポーネントは、ウェーハ・ファブ・レシピ等の
処理及び処理装置の特定の要求に適合するように適応す
る。言い換えれば、同じ処理状態を使用する、同じ装置
における同じ種類の製品の反復処理ランは、アプリケー
ション・コンポーネントにおける変更を必要としない。
しかしながら、材料、製品、装置又は処理状態における
変更は、典型的には一つ以上のアプリケーション・コン
ポーネントのデータの変更を必要とする。例えば、処理
状態における変更は、変更された命令を前記装置に供給
するために、一つ以上のアプリケーション・コンポーネ
ントにおける対応する変更を必要とする。FWコンポー
ネントは、ユーザが、新しいDFS/Fを新しい処理状
態に、又は異なる材料あるいはツールに適合するよう
に、一つ以上のアプリケーション・コンポーネントを変
更することができるようにするサービスを供給する。F
ALC200等の新しいFALCは、前記適合が、実質
的に異なる処理システムへの変更を必要としない場合
に、データ入力を通して新しい処理状態、材料又は装置
へと適合されることができる。例えば、ウェーハ・ファ
ブFALCのいくつかのアプリケーション・コンポーネ
ントのSWコードは、医薬品のバッチ生産処理のための
FALCの対応するコンポーネントのSWコードとは異
なる。新しいDFS/Fのアプリケーション・コンポー
ネントは、TIC(tool integration component、ツー
ル統合コンポーネント)を参照してより完全に記載され
るように、個別のウェーハ・ファブ・ツール等の装置と
プロコトル及びインターフェースを通して通信する。
【0099】FW及びアプリケーションSWエレメント
は、個別のSWエンティティであり、各々はそれ自身の
データベース、サーバ、及び標準GUIを伴っているの
で、コンポーネントと称される。前記コンポーネント
は、DCOM(MICROSOFTTM−Microso
ftは、レッドモンド、ワシントン州のマイクロソフト
株式会社の登録商標である−分散共通オブジェクト・モ
デル)APIs(application programming interfac
e、アプリケーション・プログラミング・インターフェ
ース)、又はCORBA(common object request brok
er architecture、共通オブジェクト要求ブローカ・ア
ーキテクチャ)等の公共の一組の通信基準を通して共同
して動作する。SW共通ビルディング・ブロックは、新
しいFW及びアプリケーション・コンポーネントの生成
を容易にするために、及び現存するFW及びアプリケー
ション・コンポーネントを変更するために、DFS/F
において供給される。これらのビルディング・ブロック
は、典型的にはGUI(graphical user interface、グ
ラフィカル・ユーザ・インターフェース)、サーバ及び
DB(database、データベース)エレメントを含む。典
型的には、DFS/F及びFALC200コンポーネン
ト及びSWビルディング・ブロックは、データ処理又は
一つ以上のコンピュータのための一つ以上の中央処理装
置によって処理される。本発明の実施形態に適した中央
処理装置及びコンピュータは、通常の当業者には公知で
ある。
【0100】図6に記載のFALC200の6のライフ
サイクル活動は、次の機能を含む。SW開発及び統合ラ
イフサイクル活動210は、ファクトリー・オブジェク
ト及びサーバのための共通構造を規定するために適応す
る。この共通構造は、DFS/F適合アプリケーション
の形成を単純化する。インストール及び管理ライフサイ
クル活動220は、MESアプリケーションをインスト
ールする。MESアプリケーションを他のアプリケーシ
ョンの能力と接続して統合することを可能にするため
に、MESアプリケーションの能力をDFS/Fと記録
することが必要である。ライフサイクル活動220はま
た、ファクトリー・のMESを具備するソフトウェアを
監視し及び制御する。さらに、それはMES能力へのア
クセスを調整し、共通のセキュリティ・サービスを供給
する。ファクトリー・モデリング・ライフサイクル活動
230は、複数の生産関連アプリケーションにおける一
貫したファクトリー・モデルの形成を調整するために適
応する。例えば、新しい製品の導入は、典型的にはWI
P(work in progress、進行中作業)及び計画アプリケ
ーションの両方において前記製品を追加する等、複数の
アプリケーションにおける変更を必要とする。ライフサ
イクル活動230はまた、複数のアプリケーションが協
同して稼動する方法を規定するため、例えば正しい装置
が一定の製品を生産するために使用されることを保証す
るために、どのようにWIPアプリケーション及び装置
アプリケーションが協同して動作することができるかを
規定するためにも適応する。ファクトリー・モデリング
・ライフサイクル活動230のSWは、ファクトリー・
のMES機能のモデルが、ファクトリー・モデリング関
連のSWを使用することによって一度構築されると、前
記生産を計画し、制御し、及び追跡するために適応す
る。
【0101】図6に記載の生産計画ライフサイクル活動
240は、計画アプリケーション・コンポーネントを供
給するために、複数のアプリケーションから状態情報を
収集する。さらにライフサイクル活動240は、生産計
画/スケジュールを開発し、及びファクトリー・リソー
スを管理するアプリケーション・コンポーネントに分配
する。生産制御、監視、及び追跡ライフサイクル活動2
50は、ファクトリー・モデルにおいて規定される段階
に従って製品を製造するために、生産計画/スケジュー
ルの実行において生産アプリケーション・コンポーネン
トの機能を調整するために供給される。解析生産結果ラ
イフサイクル活動260は、解析のためのアプリケーシ
ョン・コンポーネントからの情報を結合させるために適
応する。データ解析のための異なるアプリケーション・
コンポーネントにおけるデータを相関させ、及び特定の
ファクトリー・イベント(event)を規定し、検出し、
及び応答する。このライフサイクル活動は、実際の生産
を計画された生産と比較し、及びSW開発及び統合ライ
フサイクル活動210、ファクトリー・モデリング・ラ
イフサイクル活動230、及び/又は生産計画ライフサ
イクル活動240への入力を通して、いつ更新された計
画が必要となるかを示すために適応する。図6に図示さ
れたとおり、ライフサイクル活動260からこれらのラ
イフサイクル活動へのフィードバック・ループ(feedba
ck loop)は、次のFALC200フィードバック・サ
イクルを形成する。ライフサイクル活動260からライ
フサイクル活動210へのフィードバックは、SW開発
サイクル270を形成する一方で、ライフサイクル活動
260からライフサイクル活動280へのフィードバッ
クは、モデリング・サイクル280を供給する。実行サ
イクル290は、ライフサイクル活動260からライフ
サイクル活動240へのフィードバック・ループにおい
て形成される。新しいFALC200の前記6のライフ
サイクル活動が、一連の方法で図示され及び記載された
一方で、これらのライフサイクル活動の各々と関連する
SWは、典型的にはその他のライフサイクル活動の一つ
以上と同時に進行することが理解されるであろう。
【0102】上述の本発明のFALC200は、新しい
DFS/Fの一つの側面である。DFS/Fの二つの他
の側面は:システム層及びNの段として規定される。新
しいDFS/Fの前記システム層の特徴は、テーブル1
に記載されている。
【0103】テーブル1 DFS/Fシステム層 1. 基礎技術:これは、メッセージ、グラフィカル・
ユーザ・インターフェース(GUI)構築、例えばサー
バ構築のためのMTS(Microsoft transaction manage
r、マイクロソフト・トランザクション・マネージャ)
の使用、及び持続性のための関連データベースへのオブ
ジェクトのマッピング等、DFS/Fのための基本技術
ビルディング・ブロックを含む。 共通ビルディング・ブロック:これは、ユーザ規定属
性、バージョニング(versioning)、履歴及び分類方式
等の共通アイテムを含む。典型的な共通ビルディング・
ブロックは、テーブル2に列挙され及び記載されてい
る。 フレームワーク・コンポーネント:FWコンポーネント
は、ファクトリー・デモルを構築し、前記モデルに従っ
て製品を生産し、及びそれから改良の必要性を決定する
ためにその結果を評価する処理を通して、前記ファクト
リー・システムの全体的な操作を管理する。FALC2
00は、これらのコンポーネントを含む。典型的なFW
コンポーネントは、テーブル3に列挙され及び記載され
ている。 アプリケーション・コンポーネント:これらのコンポー
ネントは、材料管理、装置管理及びツールとのVFEI
(virtual factory equipment interface、仮想ファク
トリー・装置インターフェース)レベル通信等のツール
統合等、ファクトリー・リソース管理機能を具備する。
典型的なアプリケーション・コンポーネントは、テーブ
ル5に列挙され及び記載されている。
【0104】前記新しいDFS/Fの前記共通ビルディ
ング・ブロックは、典型的にはFW及びアプリケーショ
ン・コンポーネントを形成し又は変更するために利用さ
れる。代表的なビルディング・ブロックは、テーブル2
に示されている。
【0105】テーブル2 共通ビルディング・ブロック サーバAPI(application programming interface、
アプリケーション・プログラミング・インターフェー
ス)とファクトリー・オブジェクト例示(オブジェクト
例(object instance)の構築)との間の接続を含むサ
ーバ構築ビルディング・ブロック。SQL/ODBC
(structure query language/open DB connection、構
造照会言語/オープンDB接続)マッピングへのオブジ
ェクトを生成するための持続性ビルディング・ブロッ
ク。GUIsの構築のための共通制御を供給するための
DFS/F共通GUI制御ビルディング・ブロック。同
期のDCOMメッセージとは異なる、パブリッシュ・サ
ブスクライブ(publish subscribe)メッセージのため
のパブリッシュ及びサブスクライブ・メッセージ・ビル
ディング・ブロック。DFS/Fコンポーネントによっ
て供給されるサービスを発見するためにFWコンポーネ
ントによって使用される動的API発見ビルディング・
ブロック。オブジェクトをDFS/Fコンポーネントを
渡って接続するための関連ビルディング・ブロック。代
表的な使用は、「どこで使用されたか」の質問に答える
ためのモデリング・データのリンク及び品質データ等の
MES実行情報の装置履歴へのリンクを含む。ファクト
リー・イベントの履歴を記憶し及び検索するための共通
サービスを供給するための履歴ビルディング・ブロッ
ク。 (1)同期のサービス、(2)完了コールバック・プロ
トコル(completioncallback protocol)を通して実行
される長期のサービス、及び(3)他のサービスと対象
となるコンピュータ・デスクトップとの間のリンクを通
して実行されるGUIベース・サービスを含む、異なる
種類のDFS/Fサービスを実行するための包括的サー
ビス実行者ビルディング・ブロック。照会及び解析のた
めのファクトリー・オブジェクトを分類するための共通
のサービスを供給する分類ビルディング・ブロック。 10.DFS/Fコンポーネント・ベース・オブジェク
ト・モデルを拡張するためのカスタマ規定属性ビルディ
ング・ブロック。DFS/Fは、典型的には、前記ユー
ザの必要性に基づいた前記モデルの拡張をサポートする
ユーザ拡張可能属性モデルを供給する。 11.装置に対する追跡状態等の状態モデル、材料及び
ECNs(engineeringchange notice、エンジニアリン
グ変更通知)を規定し及びそれから操作するためのサー
ビスを含む。状態モデル・ビルディング・ブロックは、
クラスタ・ツールの様々なチャンバの予定されていない
動作不可能時間を追跡するように規定されることができ
る。 12. ネーム空間ビルディング・ブロックは、DFS
/Fデータ・モデル内の管理領域を規定する。 13. 今後のイベント及び特定のスケジュールがどの
ように続いたのかを示すための履歴増強機能(history
enhancements)を示すデートブック(datebook)を有す
るために、各ファクトリー・オブジェクトに対するスケ
ジューリングをサポートし、及び能力を追加するための
スケジュール/デートブック・ビルディング・ブロッ
ク。 14. テンプレート・ビルディング・ブロックは、フ
ァクトリー・オブジェクトの間で共有されることができ
る基本の規定を規定するためのサービスを供給する。例
えば、このビルディング・ブロックは、すべてのメモリ
製品に対する共通の特性を規定するために使用されるこ
とができる。 15. 変形(versioned)オブジェクト・ビルディン
グ・ブロックは、例えば時間が経つにつれて特定の製品
の規定における変化を管理及び追跡する等、時間が経つ
につれてファクトリー・モデル・オブジェクトにおける
変化を管理及び追跡するためのサービスを含む。 16. 材料ロット履歴等、ファクトリー・オブジェク
トの間での関係を参照するためのナビゲーション・ビル
ディング・ブロック。
【0106】新しいFALC200において利用される
FWコンポーネントは、FALC200における段階を
通して、テーブル4に記載のとおり、アプリケーション
・コンポーネントによって利用される共通の規則及びサ
ービスを規定する。
【0107】テーブル3 フレームワーク・コンポーネント 1. SC(security component、セキュリティ・コン
ポーネント)は、3のセキュリティ・モード:(1)ユ
ーザの役割を規定し、(2)ユーザを役割に割り当て、
及び(3)DFS/Fオブジェクト及び役割による方法
へのアクセスを規定することを有する基本のセキュリテ
ィを供給する。 2. GCC(GUI console component、GUIコ
ンソール・コンポーネント)は、DFS/FのGUIs
の間のデータのナビゲーション及び表示をサポートする
コンテナである。カスタムの(custom)及び/又はサー
ド・パーティ(third party)アプリケーションは、前
記コンソール・ツールバーに追加されることができる。
カスタム環境は、GUIs及びファクトリー・オブジェ
クトを追加することによって生成されることができる。
前記コンソールは、トランザクション表示、すなわち、
全面スクリーン、カスケード、タイル及びアイコンを含
むことができる。GUIコンソール・ナビゲータは、D
FS/Fファクトリー・オブジェクトの走査検索及び選
択を許可し、及びサーバ供給検索基準に基づいた検索能
力を有する。例えばそれは、ナビゲーション及びアクテ
ィブXGUIsの間でのデータ共有を供給する。コンポ
ーネントのシステム使用を追跡し及び調整するためのP
LMC(performance & license management componen
t、実行及びライセンス管理コンポーネント)。SMC
(saga management component、サガ管理コンポーネン
ト)は、一単位として取り扱われるべき「長期(long r
unning)」だが、時間がかかりすぎて標準DBロッキン
グ技術に依存することができないトランザクションに対
するサポートを供給する。CRC(context resolution
component、コンテクスト・レゾリューション・コンポ
ーネント)は、ユーザがリソース選択をどのようにする
かを柔軟にモデル化できるようにすることによって、適
切な命令があらゆるリソースに届けられることを保証し
て、コンテクストを結果へとリンクすることによって、
MES実行の支援をする。CMC(configuration mana
gement component、構築管理コンポーネント)は、コン
ポーネントを渡ってファクトリー・モデル変更の管理を
供給する。 7. CC(calendar component、カレンダ・コンポー
ネント)は、スケジュール及び報告のためのカレンダ及
びシフト規定を供給する。 8. VWC(visual workflow component、視覚的ワ
ークフロウ・コンポーネント)は、生産処理を規定し並
びに実行し、及び予め決められたビジネス処理を実行す
ることができる。VWCは、DFS/Fサービスのパレ
ットからサービス引用のシーケンス/ネットワークとし
て、ビジネス処理を図で規定する。他のDFS/Fコン
ポーネントは、処理規定のためのVWCを利用する。例
えば、WIP管理コンポーネントは、どのように製品が
生産され、及びそれを使用して材料ロットの処理を実行
するかを規定するためにVWCサービスを使用する。V
WC処理規定能力は、サービス引用と及び予め規定され
たビジネス処理を通るパス(path)を決定し/選択する
ための制御構造との間のデータの交換を含む。VWC
は、自主的にビジネス処理を実行するため、すなわち他
のSWコンポーネントから独立して機能するために適応
され、及びユーザ入力へと同様に自動化入力へと応答す
ることができる。RCC(resource coordination comp
onent、リソース調整コンポーネント)は、発送ステー
ション(dispatch station)において使用可能である有
効リソースを有する役割を果たす。リソースを、BRC
を使用する共通のジョブ/バッチと適合する。BRCと
ともに、それは有効な及び受動的なリソースのランデブ
(rendezvous)を調整する。 10.EVMC(event monitor component、イベント
監視コンポーネント)は、DFS/Fサービスによって
パブリッシュ(publish)されるイベントを監視し/前
記イベントにサブスクライブ(subscribe)する。DF
S/Fサービスは、監視されたイベントが発生する場合
に、(VWCジョブの起動を含み)実行されることがで
きる。EVMCは、ファクトリー・監視の生成を通し
て、用心深い生産をサポートする。 11.BRC(bill of resources component、リソー
ス表コンポーネント)は、バッチ処理、すなわち複数の
リソースの調整された動作に関与するバッチ処理を起動
させるために必要となる複数のDFS/Fコンポーネン
トにわたってリソースを確立する。 12.DMC(data manager component、データ・マネ
ージャ・コンポーネント)は、報告及び解析のためのF
Wコンポーネント及びアプリケーション・コンポーネン
トからのデータを統合する。それはDW(data warehou
se、データウェアハウス)技術に基づいており、及びサ
ンプルのDWスター型スキーマ(DW starschema)及び
報告を供給することができる。DMCは、未構築データ
解析のためのDBsにアクセスすることができる。
【0108】アプリケーション・コンポーネントは、特
定のツール及び処理を管理及び制御するために、MES
命令を生産装置に供給する。適切なアプリケーション・
コンポーネントの例は、テーブル4に記載されている。
【0109】テーブル4 アプリケーション・コンポーネント 1. QMC(quality management component、品質管
理コンポーネント)は、品質解析及び柔軟なデータ収集
を供給する。それは、予め決められたビジネス規則に準
拠するように、修正生産方策を決定することができる。
DFS/Fと様々な装置種類との間に双方向通信を供給
するTIC (tool integration component、ツール統
合コンポーネント)。それはSECS(SEMI−Semi
conductor Equipment and Materials International−E
quipment Communication Standard、SEMI装置通信
基準)、GEM(genericequipment model、包括装置モ
デル)、及びVFEI(virtual factory equipment in
terface、仮想ファクトリー・装置インターフェース)
等のツール・プロトコルを通して通信するために適応す
る。SECS、GEM及びVFEIは、通常の当業者に
は公知であるツール・プロトコルである。 3. EMC(equipment management component、装置
管理コンポーネント)は、クラスタ・ツールにおけるチ
ャンバにおいて個別のツールを追跡するための新しい階
層的モデルを使用して、SEMI E10状態における
異なる装置状態を解決する。 4. レシピの規定、選択及び分散を、ファブ・ツール
等の装置に供給するRMC(recipe management compon
ent、レシピ管理コンポーネント)。 5. 処理及び保全を含むファクトリー・タスクのスケ
ジュール及び発送のためのDSC(dispatching and sc
heduling component、発送及びスケジュール・コンポン
ーネント)。 6. 材料取り扱い装置と接続するためのMHC(mate
rial handling component、材料取り扱いコンポーネン
ト)。 7. WMC(WIP -work in progress- management co
mponent、進行中作業管理コンポーネント)は、ウェー
ハ、ロット、バッチ及びキャリア(carrier)の追跡を
サポートするために供給され、例えば「もしも〜なら」
という事前の事業決定をサポートする。WIPは、クラ
スタ・ツールの視認性(visibility)を提供し、及びそ
れによってMESを前記クラスタ・ツールの内側のウェ
ーハ・ロットに供給することによって制御する。 8. 現存するファクトリー・ソフトウェアへアクセス
するためのコンポーネントであるレガシー・システム・
インターフェース(Legacy system interface)。
【0110】本発明のDFS/Fの第三の面は、FWコ
ンポーネント、アプリケーション・コンポーネント及び
共通ビルディング・ブロックに存在することができる様
々な段を具備する。例えば、これは以下のような3段面
でもよい。第一の段は、他のSWプログラム又はコンポ
ーネント、例えば視覚的WFジョブ、VB(virtualbas
ic、バーチャル・ベーシック)等のGUIs及び顧客プ
ログラムからのサービスを使用する顧客を含む。第二の
段は、例えばDCOMと通信するためのMTS/DCO
Mを使用するアプリケーション又はFWサーバを具備す
る。第三の段は、ODB(open database connectivit
y、オープン・データベース接続)インターフェースを
使用するオラクル(Oracle)等の、DBエンジンを含
む。すべてのDFS/Fコンポーネントは、これら三つ
の段を使用する一方で、共通ビルディング・ブロック
は、前記ビルディング・ブロックの構造及び機能によっ
て、これらの段の一つ以上において使用されることがで
きる。
【0111】FWコンポーネントは、FALC200の
様々なライフサイクル活動と関連する。これらの関連の
代表的な例は、テーブル5に記載されている。
【0112】 テーブル5 FALC200ライフサイクル活動と関連のあるFWコンポーネント FALC200 FWコンポーネント ライフサイクル活動 220 SC、GCC、PLMC、SMC 230 CRC、CMC、CC 250 VWC、RCC、EVMC、BRC 260 DMC
【0113】本発明のFALCのFWコンポーネント、
例えばFALC200は、互いに接続するために適応
し、それによって協同して稼動する。例えば、ファクト
リー・モデルは、GCC(GUI console component、G
UIコンソール・コンポーネント)310、CMC(co
nfiguration management component、構築管理コンポー
ネント)312及びVWC(visual workflow componen
t、視覚的ワークフロウ・コンポーネント)314の間
のメッセージ交換を利用することによって、図7に記載
されたように変更されることができる。ファクトリー・
モデルの変更は、図7に記載されたCMC312等のC
MCにおいてECN(engineering changenotice、エン
ジニアリング変更通知)を形成するために集められる。
前記ECNは、ファクトリー・モデルにおける変更を集
めるために、開かれる316(図7)。その結果のEC
Nデータ318は、VWCワークフロウ320の編集の
間にGCC310によって使用される。前記ワークフロ
ウは、GCC310の中に含まれるGUI322を通し
て、表示され及び編集される。前記変更されたVWCワ
ークフロウは、段階324においてVWCに戻され、及
びVWC314はそれから変更されたワークフロウを段
階326においてCMC ECNに加える。
【0114】新しいDFS/Fのあらゆるアプリケーシ
ョン・コンポーネントは、適切なFWコンポーネントか
らの必要なサービスを実行することによってDFS/F
に加わることができ、それによってメッセージ交換を通
して協同して稼動するFW又はアプリケーション・サー
バを使用して、図8に図示されたとおり、プラグ・アン
ド・プレイ・タイプ(plug and play type)のSWフレ
ームワークを形成する。図8に記載のとおり、CMC4
10、VWC412、DMC414、EMC416、G
CC418及びSC419のためのFWコンポーネント
・サーバを使用するFWコンポーネントは、EMC42
0、WMC422、DSC424、QMC426、TI
C428及びゲートウェイ・コンポーネント429のた
めのアプリケーション・コンポーネント・サーバを使用
して、アプリケーション・コンポーネントにサービスを
供給するために共通サービス・プロトコルを使用する。
これらのサービスは、例えばDCOM通信を使用して、
共通サービス・プロトコル430を通して通信する。本
発明のこのSW技術によって、ユーザはコード化しそし
て専門技術を必要とし、時間を消耗するプログラミング
変更をする必要性を排除するよりも、データ入力を通し
て処理及び装置MES命令を修正することができる。前
記アプリケーション・コンポーネントは、ウェーハ・フ
ァブ・ツール及び/又は他の装置のコントローラへのM
ES命令を実行するために、例えばウェーハ・ファブ・
ツール及び材料取り扱い装置等の統合された装置との効
果的な通信を必要とする。典型的なコントローラは、例
えばオンボオード・コンピュータ等のマイクロプロセッ
サ、例えばポテンショメータ(potentiometer)等の可
変レジスタを使用するスイッチ及び電気回路等のコンピ
ュータ操作ソフトウェア及び機械/電気コントローラの
ためのプロセッサを含む。
【0115】本発明のDFS/Fは、機械を制御するた
めのVWC(テーブル3)ワークフロウにおいて組み立
てられることができる基本的な装置制御ビルディング・
ブロックを供給することによって、ウェーハ・ファブ・
ツール等の様々なDFS/Fコンポーネント及び装置の
間の通信を容易にするための新しいTICアプリケーシ
ョン・コンポーネント(テーブル4)を含む。TIC
は、装置への及び装置からのVFEIメッセージ又はコ
マンドを送信し及び受信することを含むサービスを供給
する。これらのコマンド又はメッセージのシーケンス
は、ツールを制御するためのコマンド等のビジネス・ロ
ジック(business logic)を表す。これらの種類のシー
ケンスは、VWCワークフロウにおいて規定されること
ができる。TICは、ツールにコマンド又はメッセージ
を送信し、及び前記ツールからメッセージ又は情報を受
信し、及び受信されたメッセージ又は情報を他のDFS
/Fコンポーネントに通信するために使用される。TI
Cは、ウェーハ・ファブ・ツール等のDFS/F及び装
置の間の通信リンクの一部である。
【0116】本発明のTICは、TIP(tool interfa
ce program、ツール・インターフェース・プログラム)
と新しいTICアダプタとの新しい組み合わせを具備す
る。TIPは、VFEIコマンド又はメッセージを、S
ECS等の機械のインターフェース及び制御SW等、そ
れの制御へと変換するための各機械又はツール・タイプ
を備える。新しいDFS/Fと通信するために適応した
装置は、TIPインスタンス、すなわち前記装置に専用
のSW処理を有し、前記装置と前記DFS/Fとの間の
媒体としてランする。機械のためのTIPインスタンス
とDFS/Fとの間の適切な通信プロトコルの一例は、
DCOMプロトコルのVFEIである。さらに、VEF
I+が、管理のため、モデリングのため、及びDCOM
のRPC(remote procedure call、遠隔プロシージャ
・コール)特性のための拡張を含む場合に、DCOMプ
ロトコルの新しいVFEI+供給することが考えられ
る。例えばこれらのコンピュータが、TIP SWを使
用するコンピュータ統合ウェーハ・ファブにおいて利用
される場合に、TIPをいくつかのコンピュータに分配
することが考えられる。
【0117】本発明のTICアダプタは、例えば他のD
FS/Fコンポーネントが、ツールの特定のTIPイン
スタンスの必要性に前記アダプタが適応する一般化され
たコマンドを通してツール機能にアクセスすることがで
きるようにすることによって、DFS/Fと及びTIP
sとの間の媒体となる。これは、測定値を収集するため
に使用可能である異なるツールを有するウェーハ・ファ
ブにおける度量衡ツールを使用して測定値を収集するた
めの、以下の例で説明される。これらの新しい技術は、
収集処理を、処理技術者又はエンジニア等のユーザが同
一測定要求をTICに送信することができるようにする
VWC WFに埋め込むために適応する。前記TICア
ダプタはそれから、前記要求を、ラン・タイム(run ti
me)に選択される特定のツールに基づいて、ツール固有
のVFEI要求に変換する。前記TICアダプタは、Q
MC計画における総称からのデータ・パラメータ名を、
特定の装置の種類又はツールによって要求される特定名
に変換することができるので、本発明のこれらの技術
は、例えば同じ種類のデータを収集する、異なるツール
のために使用されることができる単一のQMC(qualit
y management component、品質管理コンポーネント)デ
ータ収集計画を規定することができる。
【0118】効果的に、TICアダプタはまた、DFS
/Fスタイル通信とTIPsとの間のプロトコル変換を
実行することもできる。多くのDFS/Fコンポーネン
トがMTSを使用して構築されており、及びトランザク
ショナル(transactional)に並びにステートレス(sta
teless)に設計されているので、これは有用な機能であ
る。例えば、要求及び処理サービスの両方のDFS/F
サーバは、「同期であること」、すなわち1秒かそれ以
下の予想可能な短い完成時間内で完成されることが期待
されるサービス、及び長期のランであると考えられ並び
にLRSP(long running service protocol、長期ラ
ン・サービス・プロトコル)を使用するサービスであ
る。ここで規定されているように、「長期ラン・サービ
ス」という用語は、予想することができず、及び典型的
には同期サービス等の従来のサービスとともに使用され
るDBロッキング技術には適切でない完成時間を有する
サービスを含む。比較的短期間のMTSスレッド(thre
ad)を使用し、及びより長いターム状態は、DBに保存
される場合に、MTSベース・サーバによって前記サー
ビスを処理することが考えられる。また、返答が後に続
くサービスが要求される場合、サービス要求は、DCO
M RPCスタイル・モデルに基づくことができるとい
うことも考えられる。前記TICアダプタは、DFS/
FとTIPsとの間の媒体である
【0119】新しいTICの一例は、図9に記載されて
おり、本発明のTIC520を含む新しいDFS/F5
00を示す。本例においては、ノードA,B及びCを有
する分散型コンピュータが使用された。DFS/Fコン
ポーネント510は、TIC520の手段によってファ
ブ・ツール538及び548と通信した。ツール538
及び548のためのMES命令は、コンポーネント51
0によってTIC520の新しいTICアダプタ522
へと送信された。例えば、材料の処理を開始するための
命令は、VWCワークフロウ等のDFS/Fコンポーネ
ント510から、TIC520を通してツール538へ
と通信されることができる。前記TIPアダプタは、ノ
ードAでMTSサーバを通してアクサスされた。ツール
538のための命令は、TICアダプタ522から、D
COM532のVFEIプロトコルを通して、ノードB
のTIPインスタンス534へと通信された。TIPイ
ンスタンス534はそれから、これらの命令をツール5
38のSECSプロトコル536を使用して、ツール5
38へと通信した。同様に、ツール548のための命令
は、TICアダプタ522から、DCOM542のVF
EIプロトコルを通して、ノードCのTIPインスタン
ス544へと通信された。TIPインスタンス544
は、前記命令を、ツール548のSECSプロトコル5
46を使用して、ツール548へと通信した。また、通
常の当業者には公知である前記方法及び技術を使用し
て、特定のTIPsのネットワーク・ロケーション(ne
twork location)等のTICデータを記憶し及び検索す
るために、TICアダプタ522によってアクセスする
ことができるDB(図示されていない)が供給されるこ
とができる。
【0120】本発明のTICsは、本発明のDFS/F
コンポーネントとTICアダプタとの間、及びTICア
ダプタと本発明に従ったツール・インターフェース・プ
ログラム・インスタンスとの間のメッセージを送信する
ためのマイクロソフトDCOMメッセージ技術を使用す
る。TICアダプタは、テーブル2に記載されたような
本発明の共通ビルディング・ブロックを使用して構築さ
れる。創作性のあるTICアダプタは、マイクロソフト
・トランザクション・サーバ(Microsoft transaction
server)を使用して構築されることができる。前記アダ
プタは、多くのDFS/Fコンポーネント及び多くのT
IPインスタンスからのメッセージを同時に管理するこ
とができる。本発明のTICアダプタは、懸案イベント
要求、すなわちツールが特定の状態又は操作イベントを
報告するという要求に関するTIPへの命令等の情報を
保存することができる。前記情報は例えば、通常の当業
者には公知である技術を使用するオラクル・データベー
ス技術を使用して、保存されることができる。
【0121】図10は、VWC WFにおいて規定され
るビジネス処理を実行するVWC610からTICアダ
プタ612への、及びそれからTIPインスタンス61
4へのメッセージのシーケンスを示す。このTIPイン
スタンスは、集積回路構造を生産するためのウェーハ・
ファブ・ツール等の処理装置(図示されていない)と接
続するためのツール・インターフェース・プログラムを
含む。前記VWCビジネス処理は、命令をTICアダプ
タ612に送信し、装置イベントを報告する要求、例え
ばウェーハ組み立て処理の完成を報告する要求であるイ
ベント・セットアップ616を要求する。前記イベント
・セットアップ要求は、TIPインスタンス614へと
送られ618、それはその後VWC610に承認される
620。VWCはそれからTICアダプタ612からの
前記イベント・セットアップ要求に関するイベント報告
622を要求する。イベント報告要求622は、段階6
24において承認される。前記要求されたイベントが装
置で発生すると、前記イベントに関する報告が、TIP
インスタンス614からTICアダプタ612に通信さ
れ、それは、懸案の報告要求があるかを決定することを
確認する。要求622等の懸案の報告要求がある場合、
TICアダプタ612は、前記要求された報告628を
VWC WFに送信し、及びTIPインスタンス614
へのイベント報告の送信630を承認する。任意で、前
記VWCビジネスWFは、追加のイベント報告を要求す
るループ(loop)を実行することができる。一度前記要
求された報告が、前記ツールから受信すると、前記ツー
ルは終了し、及びVWC WFは、前記ツールにそのT
IPを介して、要求されたイベント報告がもう送信され
ないことを通知するために、終わりのコマンドをTIC
に送信するであろう。TIPインスタンス614は、前
記装置と通信するために、SECS等(図示されていな
い)のプロトコルを必要とするかもしれないことが注目
される。
【0122】上述の例に記載されたとおり、TIC等の
アプリケーション・コンポーネントは、VWC(テーブ
ル3)等のFWコンポーネントと通信し、及びFWコン
ポーネントを利用する。さらに、特定のFWコンポーネ
ントは、他のFWコンポーネントと通信することがで
き、及びそのサービスを利用する。例えば、すべてのF
Wコンポーネントは、図8に記載されたとおり、これら
のFWコンポーネントが供給するサービスへのアクセス
を規制するために、共通のセキュリティFWコンポーネ
ントを使用することができる。
【0123】VWCのプラグ・アンド・プレイ能力は、
例えば、前記VWCがビジネス処理を、その後ジョブと
して実行されるWFとして規定するための技術であるW
Fsによって示される。WFsは、典型的には多くの処
理段階、装置段階、動作段階、決定段階及びデータ段階
を含む半導体構造等の製品を生産するために規定された
ビジネス処理を図で表したものである。これらの段階の
各々は、WFにおける段階として規定される。WFs
は、動作、決定、品質活動、及び装置コマンドを含むこ
とができる。WFsは、通常の当業者には公知である技
術を使用するコンピュータ・グラフィカル環境におい
て、ドラグ・アンド・ドロップ(drag and drop)技術
を使用して構築される。一度規定されると、WFはジョ
ブとして実行される。例えば、WFは多くの製品を製造
するために実行されることができる。
【0124】本発明のDFS/Fは、以下のWIP管理
アプリケーション・コンポーネント(テーブル4)の手
段によって、ウェーハ・ファブ・ラン等の生産を開始す
るために利用されることができる。処理エンジニア等の
ユーザは、前記製品を作るために必要な段階のシーケン
スを規定するVWCにおけるWFを作ることによって、
どのように特定の製品が作られるかを規定する。このシ
ーケンスは、例えば、ウェーハ・ファブ・レシピを含む
ことができる。前記ユーザは、WIP管理アプリケーシ
ョン・コンポーネント・サービスを、又はVWCにロッ
トのためのWFを開始するよう要求するGUIを使用す
ることによって、ロットを開始する。要約すると、WI
PはVMCを、前記処理段階を規定し、及びその後実際
に製造ロットを生産/組み立てするためのサービスとし
て使用する。
【0125】ビルディング・ブロックは、アプリケーシ
ョン及びFWコンポーネントの構築を容易にするため
に、共通機能を前記新しいDFS/F技術に供給する。
例えば、DFS/F分類ビルディング・ブロック(テー
ブル2)は、装置を分類するために、EMCアプリケー
ション・コンポーネント(テーブル4)において使用さ
れることができる。それはまた、製品を分類するため
に、WIP管理アプリケーション・コンポーネント(テ
ーブル4)においても使用されることができる。このビ
ルディング・ブロックは、前記三つのDFS/Fの段を
含む。第一の段は、分類情報を調べるため及び修正する
ため又は更新するために、GCCの中のDFS/F G
UIを使用する。第二の段は、EMC又はWMCサーバ
等の、コンポーネント・サーバにおいて供給されるSW
コード/ロジックを含む。この特定のSWは、分類がど
のように特定されるかを規定する。例えば、このSWに
よって、ユーザは特定のウェーハ・ファブ・ツールをエ
ッチャ(etcher)として分類することができる。前記分
類ビルディング・ブロックSWは、例えばC++承継能
力、すなわちリソース又は属性を、コンポーネント・サ
ーバから特定の製品、処理、又は機械の詳細へと承継す
る能力を通して、コンポーネント・サーバにおいて使用
される。第三の段は、分類情報を記憶し及び検索するた
めに、前記コンピュータ・サーバ・ロジックによって使
用されるDBテーブル規定を具備する。
【0126】新しいDFS/F又はそのコンポーネント
のデジタル・コード化データ構造及びビルディング・ブ
ロックは、異なる処理場所において同じ技術の使用を容
易にするために、コンピュータ・フロッピ・ディスク、
脱着可能コンピュータ・ハード・ディスク、電磁テープ
及び光学ディスク等の脱着可能電子データ記憶媒体又は
装置に記憶されることができる。代替的には、データ構
造又は情報は、通常の当業者には公知である記憶装置を
使用して、前記ツールから離れた場所に配置された媒体
を含む、脱着不可能電子データ記憶媒体に記憶されるこ
とができる。前記データ構造又は情報は、ハード・ワイ
ア接続、ワイアレス接続及び一つ以上のモデム並びにサ
ーバとして一般的に知られている一つ以上のコンピュー
タを使用した技術を利用するデータ通信方法を含む、通
常の当業者には公知である通信技術を使用して、遠隔地
から、中央データ処理装置へ又はコンピュータへ通信さ
れることができる。DFS/Fあるいはそのコンポーネ
ント又はビルディング・ブロックが一度インストールさ
れると、それらは、通常の当業者には公知である前記デ
ータ記憶技術を使用して、処理システム及びその装置と
統合された専用コンピュータ又は分散型コンピュータ・
システムのデータ記憶装置又はメモリに共通に記憶され
る。
【0127】脱着可能電子データ記憶媒体又は装置等の
メモリに記憶された、新しいDFS/Fあるいはそのコ
ンポーネント又はビルディング・ブロックのデジタル・
コード化されたデータ構造又は情報を具備する新しい製
品が供給されることが考えられる。また、電子的又はデ
ジタル・データの記録のためのメモリ又はデータ記憶装
置、中央処理装置又はコンピュータ、及びDFS/Fあ
るいはそのコンポーネント又はビルディング・ブロック
が前記データ記憶装置に記憶される処理装置を有する新
しいコンピュータ統合装置を供給することも考えられ
る。
【0128】本発明は、好ましい実施形態に関して記載
されてきた。当業者には、本発明の構成要素を様々な手
段から構築し、及びさまざまな方法でコンポーネントの
配置を変更することが可能であることが理解されるであ
ろう。本発明の実施形態が詳細に記載され、及び添付の
図面において図示された一方で、さらなる様々な変更
は、添付の請求の範囲で説明された本発明の範囲から逸
脱することなく可能である。
【0129】
【発明の効果】本発明の方法は、コンピュータ実行型フ
ァクトリーオートメーションライフサイクル(a comput
er implemented factory automation lifecycle)を規
定するための方法であって:a)ライフサイクル活動フ
レームワーク・コンポーネント(lifecycle activityfr
amework components)を規定し、インストールし、及び
管理する段階と;b)ファクトリー・モデリング・ライ
フサイクル活動フレームワーク・コンポーネント(fact
ory modeling lifecycle activity framework componen
ts)を規定する段階と;及びc)生産制御、監視及び追
跡ライフサイクル活動フレームワーク・コンポーネント
を規定する段階とを具備するので、開発及び統合、イン
ストール及び管理、ファクトリー・モデリング、生産計
画、生産制御、監視及び追跡のためのライフサイクル活
動、及び生産結果を解析するためのライフサイクル活動
のためのSWを含む、新しいファクトリーオートメーシ
ョンライフサイクルが供給される。生産結果ライフサイ
クル活動の解析からの出力は、ファクトリー・モデリン
グ・ライフサイクル活動等の他のライフサイクル活動へ
の入力を供給することができる。フレームワーク・コン
ポーネントは、様々なライフサイクル活動と関連する。
【0130】本発明の方法は、ひとつ以上のコンピュー
タを含む処理システムを管理するための方法であって、
a)一つ以上のコンピュータの手段によって一つ以上の
フレームワーク・ソフトウェア・コンポーネントを含む
ファクトリーオートメーションライフサイクルをランさ
せる段階と;b)前記システムを管理するための一つ以
上のコンピュータ実行型命令を供給するための一つ以上
のアプリケーション・ソフトウェア・コンポーネントを
ランさせる段階であって、前記一つ以上のフレームワー
ク・コンポーネントが、前記アプリケーション・コンポ
ーネントを管理するために適応する前記段階と;c)前
記一つ以上の命令が、変更される必要があるかを決定す
る段階と;d)前記命令が変更される必要がない場合
に、前記一つ以上の命令を前記システムに通信する段階
と;e)それによって変更された命令を形成する一つ以
上のフレームワーク・コンポーネントの手段によって、
それらが変更される必要がある場合に命令を変更する段
階と;及びf)前記変更された命令を前記システムに通
信する段階とを具備するので、開発及び統合、インスト
ール及び管理、ファクトリー・モデリング、生産計画、
生産制御、監視及び追跡のためのライフサイクル活動、
及び生産結果を解析するためのライフサイクル活動のた
めのSWを含む、新しいファクトリーオートメーション
ライフサイクルが供給される。生産結果ライフサイクル
活動の解析からの出力は、ファクトリー・モデリング・
ライフサイクル活動等の他のライフサイクル活動への入
力を供給することができる。フレームワーク・コンポー
ネントは、様々なライフサイクル活動と関連する。
【0131】本発明の方法は、ソフトウェア・ウレーム
ワークを装置にリンクするための方法であって、a)ツ
ール・インターフェース・プログラムと;及びb)ツー
ル統合コンポーネント・アダプタとを含むツール統合コ
ンポーネントの手段によってリンクする段階を具備する
ので、開発及び統合、インストール及び管理、ファクト
リー・モデリング、生産計画、生産制御、監視及び追跡
のためのライフサイクル活動、及び生産結果を解析する
ためのライフサイクル活動のためのSWを含む、新しい
ファクトリーオートメーションライフサイクルが供給さ
れる。生産結果ライフサイクル活動の解析からの出力
は、ファクトリー・モデリング・ライフサイクル活動等
の他のライフサイクル活動への入力を供給することがで
きる。フレームワーク・コンポーネントは、様々なライ
フサイクル活動と関連する。
【0132】本発明の方法は、製品を処理するための方
法であって、a)前記製品を処理するための仕様を決定
する段階と;及びb)(1)一つ以上のフレームワーク
・コンポーネントを有するファクトリーオートメーショ
ンライフサイクルと(2)前記フレームワーク・コンポ
ーネントが、前記アプリケーション・コンポーネントを
管理するために適応する一つ以上のコンポーネントとを
含む分散型ファクトリー・システム・フレームワークの
手段によって、前記処理を管理する段階とを具備するの
で、開発及び統合、インストール及び管理、ファクトリ
ー・モデリング、生産計画、生産制御、監視及び追跡の
ためのライフサイクル活動、及び生産結果を解析するた
めのライフサイクル活動のためのSWを含む、新しいフ
ァクトリーオートメーションライフサイクルが供給され
る。生産結果ライフサイクル活動の解析からの出力は、
ファクトリー・モデリング・ライフサイクル活動等の他
のライフサイクル活動への入力を供給することができ
る。フレームワーク・コンポーネントは、様々なライフ
サイクル活動と関連する。
【0133】本発明の方法は、ウェーハ・ファブ・ラン
を開始するための方法であって:a)前記ウェーハ・フ
ァブ・ランを処理するための処理段階のシーケンスを決
定する段階と;b)(1)フレームワーク・コンポーネ
ント及び(2)アプリケーション・コンポーネントを有
する分散型ファクトリー・システム・フレームワークに
含まれる視覚的ワークフロウ・コンポーネントにおい
て、前記シーケンスを規定するワークフロウを形成する
段階と;及びc)進行中作業管理コンポーネント又はG
UIコンソール・コンポーネントの中のGUIであるサ
ービスの手段によって、前記視覚的ワークフロウ・コン
ポーネントがランを開始するように要求する段階とを具
備するので、開発及び統合、インストール及び管理、フ
ァクトリー・モデリング、生産計画、生産制御、監視及
び追跡のためのライフサイクル活動、及び生産結果を解
析するためのライフサイクル活動のためのSWを含む、
新しいファクトリーオートメーションライフサイクルが
供給される。生産結果ライフサイクル活動の解析からの
出力は、ファクトリー・モデリング・ライフサイクル活
動等の他のライフサイクル活動への入力を供給すること
ができる。フレームワーク・コンポーネントは、様々な
ライフサイクル活動と関連する。
【0134】本発明の装置は、製品を処理するための装
置であって、a)製品処理装置と;b) 少なくとも一
つの電子データ処理のための中央処理装置と;c)前記
中央処理装置を前記製品処理装置に動作可能にリンクす
るためのリンクと;d) デジタル・コード化されたデ
ータ構造を記録するためのメモリであって、前記少なく
とも一つの中央処理装置に動作可能にリンクされるメモ
リと;及びe) データ構造は:(1)管理ライフサイ
クル活動フレームワーク・コンポーネントと、(2)フ
ァクトリー・モデリング・ライフサイクル活動フレーム
ワーク・コンポーネントと、及び(3)生産制御及び追
跡ライフサイクル活動フレームワーク・コンポーネント
とを含むファクトリーオートメーションライフサイクル
を具備することを特徴とする、メモリに記録されたデジ
タル・コード化された第一のデータ構造とを具備するの
で、開発及び統合、インストール及び管理、ファクトリ
ー・モデリング、生産計画、生産制御、監視及び追跡の
ためのライフサイクル活動、及び生産結果を解析するた
めのライフサイクル活動のためのSWを含む、新しいフ
ァクトリーオートメーションライフサイクルが供給され
る。生産結果ライフサイクル活動の解析からの出力は、
ファクトリー・モデリング・ライフサイクル活動等の他
のライフサイクル活動への入力を供給することができ
る。フレームワーク・コンポーネントは、様々なライフ
サイクル活動と関連する。
【0135】本発明の装置は、製品を処理するための装
置であって、a)製品処理装置と;b)少なくとも一つ
の電子データ処理のための中央処理装置と;c)前記中
央処理装置を前記製品処理装置に動作可能にリンクする
ためのリンクと;d)デジタル・コード化されたデータ
構造を記録するためのメモリであって、前記少なくとも
一つの中央処理装置に動作可能にリンクされるメモリ
と;及びe)前記製品処理を管理するための分散型ファ
クトリー・システム・フレームワークであって: (1)デジタル・コード化されたフレームワーク・コン
ポーネントを含むファクトリーオートメーションライフ
サイクルを含む、デジタル・コード化された第一のデー
タ構造と、(2)デジタル・コード化された命令を前記
処理装置に通信するように適応したアプリケーション・
コンポーネントを具備するデジタル・コード化された第
二のデータ構造であって、前記第一のデータ構造は前記
第二のデータ構造を管理するように適応する前記第二の
データ構造と、及び(3)前記デジタル・コード化され
た命令を前記処理装置に通信するためのリンクとを具備
する分散型ファクトリー・システム・フレームワークと
を具備するので、開発及び統合、インストール及び管
理、ファクトリー・モデリング、生産計画、生産制御、
監視及び追跡のためのライフサイクル活動、及び生産結
果を解析するためのライフサイクル活動のためのSWを
含む、新しいファクトリーオートメーションライフサイ
クルが供給される。生産結果ライフサイクル活動の解析
からの出力は、ファクトリー・モデリング・ライフサイ
クル活動等の他のライフサイクル活動への入力を供給す
ることができる。フレームワーク・コンポーネントは、
様々なライフサイクル活動と関連する。
【0136】本発明のシステム・フレームワークは、処
理システムを管理するための分散型ファクトリー・シス
テム・フレームワークであって:a)デジタル・コード
化されたフレームワーク・コンポーネントを具備するデ
ジタル・コード化された第一のデータ構造と;b)デジ
タル・コード化された命令を前記処理システムに通信す
るように適応したアプリケーション・コンポーネントを
具備するデジタル・コード化された第二のデータ構造
で、前記第一のデータ構造は、前記第二のデータ構造を
管理するように適応する前記第二のデータ構造と;及び
c)前記デジタル・コード化された命令を前記処理シス
テムに通信するためのリンクとを具備するので、開発及
び統合、インストール及び管理、ファクトリー・モデリ
ング、生産計画、生産制御、監視及び追跡のためのライ
フサイクル活動、及び生産結果を解析するためのライフ
サイクル活動のためのSWを含む、新しいファクトリー
オートメーションライフサイクルが供給される。生産結
果ライフサイクル活動の解析からの出力は、ファクトリ
ー・モデリング・ライフサイクル活動等の他のライフサ
イクル活動への入力を供給することができる。フレーム
ワーク・コンポーネントは、様々なライフサイクル活動
と関連する。
【0137】本発明のデータ記憶装置は、a)管理ライ
フサイクル活動フレームワーク・コンポーネントと;
b)ファクトリー・モデリング・ライフサイクル活動フ
レームワーク・コンポーネントと;及びc)生産制御及
び追跡ライフサイクル活動フレームワーク・コンポーネ
ントとを有するファクトリーオートメーションライフサ
イクルを含むデジタル・コード化された第一のデータ構
造を具備するので、開発及び統合、インストール及び管
理、ファクトリー・モデリング、生産計画、生産制御、
監視及び追跡のためのライフサイクル活動、及び生産結
果を解析するためのライフサイクル活動のためのSWを
含む、新しいファクトリーオートメーションライフサイ
クルが供給される。生産結果ライフサイクル活動の解析
からの出力は、ファクトリー・モデリング・ライフサイ
クル活動等の他のライフサイクル活動への入力を供給す
ることができる。フレームワーク・コンポーネントは、
様々なライフサイクル活動と関連する。
【0138】本発明のデータ記憶装置は、a)デジタル
・コード化されたフレームワーク・コンポーネントを含
むファクトリーオートメーションライフサイクルを具備
するデジタル・コード化された第一のデータ構造と;及
びb)アプリケーション・コンポーネントを具備するデ
ジタル・コード化された第二のデータ構造で、前記第一
のデータ構造は、前記第二のデータ構造を変更するため
に適応する前記第二のデータ構造とを具備するので、開
発及び統合、インストール及び管理、ファクトリー・モ
デリング、生産計画、生産制御、監視及び追跡のための
ライフサイクル活動、及び生産結果を解析するためのラ
イフサイクル活動のためのSWを含む、新しいファクト
リーオートメーションライフサイクルが供給される。生
産結果ライフサイクル活動の解析からの出力は、ファク
トリー・モデリング・ライフサイクル活動等の他のライ
フサイクル活動への入力を供給することができる。フレ
ームワーク・コンポーネントは、様々なライフサイクル
活動と関連する。
【図面の簡単な説明】
【図1】図1は、従来のウェーハ・ファブ処理を図示し
たフロウチャートである。
【図2】図2は、従来のウェーハ・ファブ・スパッタリ
ング金属化処理を図示したフロウチャートである。
【図3】図3は、従来の装置時間状態を図示する積み重
ねチャートである。
【図4】図4は、図3に記載の積み重ねチャートの従来
の装置時間状態を図示するブロック図である。
【図5】図5は、図3に記載の積み重ねチャートの従来
の装置時間状態を図示するブロック図である。
【図6】図6は、本発明のファクトリーオートメーショ
ンライフサイクルを図示したブロック図である。
【図7】図7は、本発明のフレームワーク・コンポーネ
ント間の相互干渉を示した図である。
【図8】図8は、本発明のコンポーネント・サーバを図
示するブロック図である。
【図9】図9は、本発明のツール統合コンポーネントを
図示するブロック図である。
【図10】図10は、本発明の視覚的ワークフロウ・コ
ンポーネントからツール統合プログラムへのメッセージ
のシーケンスを示した図である。
【符号の説明】
200 ファクトリーオートメーションライフサイクル
(FAL) 210 SW開発及び統合ライフサイクル活動 220 インストール及び管理ライフサイクル活動 230 ファクトリー・モデリング・ライフサイクル活
動 240 生産計画ライフサイクル活動 250 生産制御、監視及び追跡ライフサイクル活動 260 生産結果ライフサイクル活動の解析 270 SW開発サイクル 280 モデリング・サイクル 290 実行サイクル
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョン アラッカパラムビル アメリカ合衆国 カリフォルニア州 94010 サン カーロス チェスナット ストリート 628−エル (72)発明者 トム チー アメリカ合衆国 カリフォルニア州 95127 サン ホセ サウス ブレイニー アベニュー 1023 (72)発明者 ビリー チョウ アメリカ合衆国 カリフォルニア州 94025 メンロ パーク オーク ハロウ ウェイ 75 (72)発明者 パトリック エム ドスーザ アメリカ合衆国 カリフォルニア州 94043 マウンテン ヴィュー イースト ストリート 302−95 (72)発明者 パーリス ホーキンズ アメリカ合衆国 カリフォルニア州 94566 プレザントン ベネディクト コ ート 1362 (72)発明者 チャールズ ヒューアン アメリカ合衆国 カリフォルニア州 94587 ユニオン シティー カーメル ウェイ 5874 (72)発明者 ジェット ジェンセン アメリカ合衆国 カリフォルニア州 95008 キャンベル マラソン ドライヴ 627 (72)発明者 バドリー エヌ クリシュナムルティー アメリカ合衆国 カリフォルニア州 94043 マウンテン ヴィュー イースト ストリート 302−16 (72)発明者 プラディープ エム クルカルニー アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル グレコ アベニ ュー 1069 (72)発明者 プラカシュ エム クルカルニー アメリカ合衆国 カリフォルニア州 94086 サニーヴェイル サウス フェア オークス 655−3094 (72)発明者 ウェン フォン リン アメリカ合衆国 カリフォルニア州 95129 サン ホセ ビング ドライヴ 1381 (72)発明者 シャンター モハーン アメリカ合衆国 カリフォルニア州 94306 パロ アルト パークサイド ド ライヴ 261 (72)発明者 ビシュヌ ハンディー アメリカ合衆国 カリフォルニア州 94587 ユニオン シティー ケイプ ヴ ィュー ドライヴ 31381 (72)発明者 ヒューイ シン ユーアン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ マックリントッ ク レーン 10319

Claims (69)

    【特許請求の範囲】
  1. 【請求項1】コンピュータ実行型ファクトリーオートメ
    ーション・ライフサイクルを規定するための方法であっ
    て: a) ライフサイクル活動フレームワーク・コンポーネ
    ントを規定し、インストールし、及び管理する段階と; b) ファクトリー・モデリング・ライフサイクル活動
    フレームワーク・コンポーネントを規定する段階と;及
    び c) 生産制御、監視及び追跡ライフサイクル活動フレ
    ームワーク・コンポーネントを規定する段階とを具備す
    ることを特徴とする方法。
  2. 【請求項2】管理ライフサイクル活動フレームワーク・
    コンポーネントを規定する段階は、セキュリティ・コン
    ポーネント、GUIコンソール・コンポーネント、実行
    並びにライセンス管理コンポーネント、及びサガ管理コ
    ンポーネントを含むグループから選択された一つ以上の
    フレームワーク・コンポーネントを規定する段階を具備
    することを特徴とする、請求項1に記載の方法。
  3. 【請求項3】ファクトリー・モデリング・ライフサイク
    ル活動フレームワーク・コンポーネントを規定する段階
    は、コンテクスト・レゾリューション・コンポーネン
    ト、構築管理コンポーネント及びカレンダ・コンポーネ
    ントを含むグループから選択された一つ以上のフレーム
    ワーク・コンポーネントを規定する段階を具備すること
    を特徴とする、請求項1に記載の方法。
  4. 【請求項4】生産、制御、監視及び追跡ライフサイクル
    活動フレームワーク・コンポーネントを規定する段階
    は、視覚的ワークフロウ・コンポーネント、リソース調
    整コンポーネント、イベント監視コンポーネント及びリ
    ソース表コンポーネントを含むグループから選択された
    一つ以上のフレームワーク・コンポーネントを規定する
    段階を具備することを特徴とする、請求項1に記載の方
    法。
  5. 【請求項5】生産結果ライフサイクル活動フレームワー
    ク・コンポーネントの一つ以上の解析を規定するための
    方法を追加的に具備する、請求項1に記載の方法。
  6. 【請求項6】生産結果ライフサイクル活動フレームワー
    ク・コンポーネントの一つ以上の解析を規定する段階
    は、データ・マネージャ・コンポーネントの規定を具備
    することを特徴とする、請求項5に記載の方法。
  7. 【請求項7】一つ以上の生産結果ライフサイクル活動フ
    レームワーク・コンポーネントと、ファクトリー・モデ
    リング・ライフサイクル活動フレームワーク・コンポー
    ネントを含むグループから選択されたコンポーネントと
    の間の相互干渉を規定するための方法を追加的に規定す
    ることを特徴とする、請求項5に記載の方法。
  8. 【請求項8】SW開発及び統合ライフサイクル活動を追
    加的に規定することを特徴とする、請求項1の方法。
  9. 【請求項9】生産計画ライフサイクル活動を追加的に規
    定することを特徴とする、請求項1に記載の方法。
  10. 【請求項10】ファクトリーオートメーションライフサ
    イクルを規定する段階は、集積回路構造を処理するため
    のファクトリーオートメーションライフサイクルを規定
    する段階を具備することを特徴とする、請求項1に記載
    の方法。
  11. 【請求項11】ファクトリーオートメーションライフサ
    イクルを規定する段階はさらに、前記フレームワーク・
    コンポーネントが、ツール統合コンポーネントと通信す
    るために適応するように、フレームワーク・コンポーネ
    ントを規定する段階を追加的に具備し、前記フレームワ
    ーク・コンポーネントは、インストール及び管理ライフ
    サイクル活動フレームワーク・コンポーネント、ファク
    トリー・モデリング・ライフサイクル活動フレームワー
    ク・コンポーネント、及び生産、制御、監視及び追跡ラ
    イフサイクル活動フレームワーク・コンポーネントを含
    むグループから選択されることを特徴とする、請求項1
    に記載の方法。
  12. 【請求項12】生産制御、監視及び追跡ライフサイクル
    活動コンポーネントを規定する段階は、視覚的ワークフ
    ロウ・コンポーネントを規定する段階を具備することを
    特徴とする、請求項11に記載の方法。
  13. 【請求項13】ひとつ以上のコンピュータを含む処理シ
    ステムを管理するための方法であって、 a) 一つ以上のコンピュータの手段によって一つ以上
    のフレームワーク・ソフトウェア・コンポーネントを含
    むファクトリーオートメーションライフサイクルをラン
    させる段階と; b) 前記システムを管理するための一つ以上のコンピ
    ュータ実行型命令を供給するための一つ以上のアプリケ
    ーション・ソフトウェア・コンポーネントをランさせる
    段階であって、前記一つ以上のフレームワーク・コンポ
    ーネントが、前記アプリケーション・コンポーネントを
    管理するために適応する前記段階と; c) 前記一つ以上の命令が、変更される必要があるか
    を決定する段階と; d) 前記命令が変更される必要がない場合に、前記一
    つ以上の命令を前記システムに通信する段階と; e) それによって変更された命令を形成する一つ以上
    のフレームワーク・コンポーネントの手段によって、そ
    れらが変更される必要がある場合に命令を変更する段階
    と;及び f) 前記変更された命令を前記システムに通信する段
    階とを具備する方法。
  14. 【請求項14】前記システムにおいて前記一つ以上の命
    令を実行することによって、前記処理システムを管理す
    る段階を追加的に具備することを特徴とする、請求項1
    3に記載の方法。
  15. 【請求項15】前記フレームワーク・コンポーネントの
    一つ以上をランする段階は、セキュリティ・コンポーネ
    ント、GUIコンソール・コンポーネント、実行並びに
    ライセンス管理コンポーネント、サガ管理コンポーネン
    ト、コンテクスト・レゾリューション・コンポーネン
    ト、構築管理コンポーネント、カレンダ・コンポーネン
    ト、視覚的ワークフロウ・コンポーネント、リソース調
    整コンポーネント、イベント監視コンポーネント、リソ
    ース表コンポーネント、及びデータ・マネージャ・コン
    ポーネントを含むグループから選択された一つ以上のコ
    ンポーネントをランさせる段階を具備することを特徴と
    する、請求項13に記載の方法。
  16. 【請求項16】一つ以上のアプリケーション・コンポー
    ネントをランさせる段階は、品質管理コンポーネント、
    ツール統合コンポーネント、装置管理コンポーネント、
    レシピ管理コンポーネント、発送並びにスケジュール・
    コンポーネント、材料取り扱いコンポーネント、進行中
    作業コンポーネント、及びレガシー・システム・インタ
    ーフェース・コンポーネントを含むグループから選択さ
    れた一つ以上のコンポーネントをランさせる段階を具備
    することを特徴とする、請求項13に記載の方法。
  17. 【請求項17】通信段階は、ツール統合コンポーネント
    の手段によって通信する段階を具備することを特徴とす
    る、請求項13に記載の方法。
  18. 【請求項18】通信段階は、 a) ツール・インターフェース・プログラムと;及び b) ツール統合コンポーネント・アダプタとの手段に
    よる通信段階を具備することを特徴とする、請求項17
    に記載の方法。
  19. 【請求項19】前記一つ以上のコンピュータ実行型命令
    は、視覚的フレームワーク・コンポーネント命令である
    ことを特徴とする、請求項17に記載の方法。
  20. 【請求項20】サーバ構築ビルディング・ブロック、持
    続性ビルディング・ブロック、共通GUI制御ビルディ
    ング・ブック、パブリッシュ・サブスクライブ・メッセ
    ージ・ビルディング・ブロック、ダイナミックAPI発
    見ビルディング・ブロック、関連ビルディング・ブロッ
    ク、履歴ビルディング・ブロック、汎用サービス実行者
    ビルディング・ブロック、分類ビルディング・ブロッ
    ク、顧客規定属性ビルディング・ブロック、状態モデル
    ・ビルディング・ブロック、ネーム空間ビルディング・
    ブロック、スケジュール/デートブック・ビルディング
    ・ブロック、テンプレート・ビルディング・ブロック、
    変形オブジェクト・ビルディング・ブロック、及びナビ
    ゲーション・ビルディング・ブロックを含むグループか
    ら選択されたひとつ以上のソフトウェア・ビルディング
    ・ブロックの手段によって、一つ以上のフレームワーク
    ・コンポーネントを形成する段階を追加的に具備するこ
    とを特徴とする、請求項13に記載の方法。
  21. 【請求項21】一つ以上のフレームワーク・コンポーネ
    ントをランさせる段階は、前記一つ以上のフレームワー
    ク・コンポーネントの一つのデータ構造を、フレームワ
    ーク・コンポーネント及びアプリケーション・コンポー
    ネントを含むグループから選択された前記一つ以上のコ
    ンポーネントの一つのデータ構造に通信する手段を追加
    的に具備することを特徴とする、請求項13に記載の方
    法。
  22. 【請求項22】前記命令を変更する段階は、データを入
    力する段階を具備することを特徴とする、請求項13に
    記載の方法。
  23. 【請求項23】処理システムを管理する段階は、集積回
    路構造を処理するためのシステムを管理する段階を具備
    することを特徴とする、請求項13に記載の方法。
  24. 【請求項24】前記システムは、一つ以上のウェーハ組
    み立てツールを具備することを特徴とする、請求項23
    に記載の方法。
  25. 【請求項25】ソフトウェア・ウレームワークを装置に
    リンクするための方法であって、 a) ツール・インターフェース・プログラムと;及び b) ツール統合コンポーネント・アダプタとを含むツ
    ール統合コンポーネントの手段によってリンクする段階
    を具備する方法。
  26. 【請求項26】リンク段階は、 a) 前記一つ以上のフレームワーク・コンポーネント
    と; b) 前記ツール統合コンポーネント・アダプタと;及
    び c) 前記ツール・インターフェース・プログラムとの
    間のメッセージの交換段階を具備することを特徴とす
    る、請求項25に記載の方法。
  27. 【請求項27】前記一つ以上のフレームワーク・コンポ
    ーネントは、視覚的ワークフロウ・コンポーネントを具
    備することを特徴とする、請求項26に記載の方法。
  28. 【請求項28】製品を処理するための方法であって、 a) 前記製品を処理するための仕様を決定する段階
    と;及び b) (1)一つ以上のフレームワーク・コンポーネン
    トを有するファクトリーオートメーションライフサイク
    ルと(2)前記フレームワーク・コンポーネントが、前
    記アプリケーション・コンポーネントを管理するために
    適応する一つ以上のコンポーネントとを含む分散型ファ
    クトリー・システム・フレームワークの手段によって、
    前記処理を管理する段階とを具備する方法。
  29. 【請求項29】管理段階は: a) 前記仕様に適合するために、前記分散型ファクト
    リー・システム・フレームワークが変更される必要があ
    るかを決定する段階と;及び b) 前記分散型ファクトリー・システム・フレームワ
    ークが変更される必要がある場合、一つ以上のアプリケ
    ーション・コンポーネントを変更する段階とを追加的に
    具備することを特徴とする、請求項28に記載の方法。
  30. 【請求項30】変更段階は、データを入力する段階を具
    備することを特徴とする請求項29に記載の方法。
  31. 【請求項31】管理段階は、一つ以上のソフトウェア・
    ビルディング・ブロックの手段によって、一つ以上のフ
    レームワーク・コンポーネントを形成する段階を追加的
    に具備することを特徴とする、請求項28に記載の方
    法。
  32. 【請求項32】管理段階は: a) 前記一つ以上のアプリケーション・コンポーネン
    トの手段によって、管理のための一つ以上のコンピュー
    タ実行型命令を形成する段階と; b) 前記一つ以上の命令を、前記製品を処理するため
    の装置に通信する段階と;及び c) 前記一つ以上の命令を前記装置で実行する段階と
    を追加的に具備することを特徴とする、請求項28に記
    載の方法。
  33. 【請求項33】通信段階は、ツール統合コンポーネント
    の手段による通信段階を具備し、前記ツール統合コンポ
    ーネントは:(1)ツール統合コンポーネント・アダプ
    タ及び (2)ツール・インターフェース・プログラムを具備す
    ることを特徴とする、請求項32に記載の方法。
  34. 【請求項34】製品を処理する段階は、集積回路構造を
    処理する段階を具備することを特徴とする、請求項28
    に記載の方法。
  35. 【請求項35】ウェーハ・ファブ・ランを開始するため
    の方法であって: a) 前記ウェーハ・ファブ・ランを処理するための処
    理段階のシーケンスを決定する段階と; b) (1)フレームワーク・コンポーネント及び
    (2)アプリケーション・コンポーネントを有する分散
    型ファクトリー・システム・フレームワークに含まれる
    視覚的ワークフロウ・コンポーネントにおいて、前記シ
    ーケンスを規定するワークフロウを形成する段階と;及
    び c) 進行中作業管理コンポーネント又はGUIコンソ
    ール・コンポーネントの中のGUIであるサービスの手
    段によって、前記視覚的ワークフロウ・コンポーネント
    がランを開始するように要求する段階とを具備する方
    法。
  36. 【請求項36】製品を処理するための装置であって、 a) 製品処理装置と; b) 少なくとも一つの電子データ処理のための中央処
    理装置と; c) 前記中央処理装置を前記製品処理装置に動作可能
    にリンクするためのリンクと; d) デジタル・コード化されたデータ構造を記録する
    ためのメモリであって、前記少なくとも一つの中央処理
    装置に動作可能にリンクされるメモリと;及び e) データ構造は:(1)管理ライフサイクル活動フ
    レームワーク・コンポーネントと、(2)ファクトリー
    ・モデリング・ライフサイクル活動フレームワーク・コ
    ンポーネントと、及び(3)生産制御及び追跡ライフサ
    イクル活動フレームワーク・コンポーネントとを含むフ
    ァクトリーオートメーションライフサイクルを具備する
    ことを特徴とする、メモリに記録されたデジタル・コー
    ド化された第一のデータ構造とを具備する装置。
  37. 【請求項37】前記管理ライフサイクル活動フレームワ
    ーク・コンポーネントは、セキュリティ・コンポーネン
    ト、GUIコンソール・コンポーネント、実行並びにラ
    イセンス管理コンポーネント及びサガ管理コンポーネン
    トを含むグループから選択された一つ以上のフレームワ
    ーク・コンポーネントを具備することを特徴とする、請
    求項36に記載の装置。
  38. 【請求項38】前記ファクトリー・モデリング・ライフ
    サイクル活動フレームワーク・コンポーネントは、コン
    テクスト・レゾリューション・コンポーネント、構築管
    理コンポーネント及びカレンダ・コンポーネントを含む
    グループから選択されたひとつ以上のフレームワーク・
    コンポーネントを具備することを特徴とする、請求項3
    6に記載の装置。
  39. 【請求項39】生産制御及び追跡ライフサイクル活動フ
    レームワーク・コンポーネントは、視覚的ワークフロウ
    ・コンポーネント、リソース調整コンポーネント、イベ
    ント監視コンポーネント及びリソース表コンポーネント
    を含むグループから選択された一つ以上のフレームワー
    ク・コンポーネントを具備することを特徴とする、請求
    項36に記載の装置。
  40. 【請求項40】生産結果ライフサイクル活動フレームワ
    ーク・コンポーネントの一つ以上の解析を追加的に具備
    することを特徴とする、請求項36に記載の装置。
  41. 【請求項41】生産結果ライフサイクル活動フレームワ
    ーク・コンポーネントの前記一つ以上の解析は、データ
    ・マネージャ・コンポーネントを具備することを特徴と
    する、請求項40に記載の装置。
  42. 【請求項42】アプリケーション・コンポーネントを含
    むデジタル・コード化された第二のデータ構造を追加的
    に具備し、前記第一のデータ構造は前記第二のデータ構
    造を管理するために適応することを特徴とする、請求項
    36に記載の装置。
  43. 【請求項43】前記フレームワーク・コンポーネントの
    一つ以上を形成するためのソフトウェア・ビルディング
    ・ブロックを含むデジタル・コード化された第三のデー
    タ構造を追加的に具備する、請求項42に記載の装置。
  44. 【請求項44】前記第一のデータ構造は: a) GUIコンソール・コンポーネントを含むデジタ
    ル・コード化された第四のデータ構造と;及び b) 構築管理コンポーネントを含むデジタル・コード
    化された第五のデータ構造とを具備することを特徴とす
    る、請求項36に記載の装置。
  45. 【請求項45】前記第四の及び第五のデータ構造は、相
    互干渉のために適応することを特徴とする、請求項44
    に記載の装置。
  46. 【請求項46】前記リンクは:(1)ツール統合コンポ
    ーネント・アダプタと及び(2)ツール・インターフェ
    ース・プログラムとを含むツール統合コンポーネントを
    具備することを特徴とする、請求項36に記載の装置。
  47. 【請求項47】集積回路構造を処理するための装置を具
    備することを特徴とする、請求項36に記載の装置。
  48. 【請求項48】製品を処理するための装置であって、 a) 製品処理装置と; b) 少なくとも一つの電子データ処理のための中央処
    理装置と; c) 前記中央処理装置を前記製品処理装置に動作可能
    にリンクするためのリンクと; d) デジタル・コード化されたデータ構造を記録する
    ためのメモリであって、前記少なくとも一つの中央処理
    装置に動作可能にリンクされるメモリと;及び e) 前記製品処理を管理するための分散型ファクトリ
    ー・システム・フレームワークであって:(1)デジタ
    ル・コード化されたフレームワーク・コンポーネントを
    含むファクトリーオートメーションライフサイクルを含
    む、デジタル・コード化された第一のデータ構造と、
    (2)デジタル・コード化された命令を前記処理装置に
    通信するように適応したアプリケーション・コンポーネ
    ントを具備するデジタル・コード化された第二のデータ
    構造であって、前記第一のデータ構造は前記第二のデー
    タ構造を管理するように適応する前記第二のデータ構造
    と、及び(3)前記デジタル・コード化された命令を前
    記処理装置に通信するためのリンクとを具備する分散型
    ファクトリー・システム・フレームワークとを具備する
    装置。
  49. 【請求項49】集積回路構造を処理するための装置を具
    備することを特徴とする、請求項48に記載の装置。
  50. 【請求項50】処理システムを管理するための分散型フ
    ァクトリー・システム・フレームワークであって: a) デジタル・コード化されたフレームワーク・コン
    ポーネントを具備するデジタル・コード化された第一の
    データ構造と; b) デジタル・コード化された命令を前記処理システ
    ムに通信するように適応したアプリケーション・コンポ
    ーネントを具備するデジタル・コード化された第二のデ
    ータ構造で、前記第一のデータ構造は、前記第二のデー
    タ構造を管理するように適応する前記第二のデータ構造
    と;及び c) 前記デジタル・コード化された命令を前記処理シ
    ステムに通信するためのリンクとを具備する分散型ファ
    クトリー・システム・フレームワーク。
  51. 【請求項51】前記フレームワーク・コンポーネント
    は、セキュリティ・コンポーネント、GUIコンソール
    ・コンポーネント、実行並びにライセンス管理コンポー
    ネント、サガ管理コンポーネント、コンテクスト・レゾ
    リューション・コンポーネント、構築管理コンポーネン
    ト、カレンダ・コンポーネント、視覚的ワークフロウ・
    コンポーネント、リソース調整コンポーネント、イベン
    ト監視コンポーネント、リソース表コンポーネント、及
    びデータ・マネージャ・コンポーネントを含むグループ
    から選択された一つ以上のコンポーネントを具備するこ
    とを特徴とする、請求項50に記載の分散型ファクトリ
    ー・システム・フレームワーク。
  52. 【請求項52】前記アプリケーション・コンポーネント
    は、品質管理コンポーネント、ツール統合コンポーネン
    ト、装置管理コンポーネント、レシピ管理コンポーネン
    ト、発送並びにスケジュール・コンポーネント、材料取
    り扱いコンポーネント、進行中作業コンポーネント、及
    びレガシー・システム・インターフェース・コンポーネ
    ントを含むグループから選択された一つ以上のコンポー
    ネントを具備することを特徴とする、請求項50に記載
    の分散型ファクトリー・システム・フレームワーク。
  53. 【請求項53】前記リンクは、ツール統合コンポーネン
    トを含む第四のデータ構造を具備することを特徴とす
    る、請求項50に記載の分散型ファクトリー・システム
    ・フレームワーク。
  54. 【請求項54】前記第四のデータ構造は: a) ツール・インターフェース・プログラム第五デー
    タ構造と;及び b) ツール統合コンポーネント・アダプタ第六データ
    構造とを具備することを特徴とする、請求項53に記載
    の分散型ファクトリー・システム・フレームワーク。
  55. 【請求項55】サーバ構築ビルディング・ブロック、持
    続性ビルディング・ブロック、共通GUI制御ビルディ
    ング・ブック、パブリッシュ・サブスクライブ・メッセ
    ージ・ビルディング・ブロック、ダイナミックAPI発
    見ビルディング・ブロック、関連ビルディング・ブロッ
    ク、履歴ビルディング・ブロック、汎用サービス実行者
    ビルディング・ブロック、分類ビルディング・ブロッ
    ク、顧客規定属性ビルディング・ブロック、状態モデル
    ・ビルディング・ブロック、ネーム空間ビルディング・
    ブロック、スケジュール/デートブック・ビルディング
    ・ブロック、テンプレート・ビルディング・ブロック、
    変形オブジェクト・ビルディング・ブロック、及びナビ
    ゲーション・ビルディング・ブロックを含むグループか
    ら選択されたひとつ以上のソフトウェア・ビルディング
    ・ブロックを追加的に具備することを特徴とする、請求
    項50に記載の方法。
  56. 【請求項56】a) 管理ライフサイクル活動フレーム
    ワーク・コンポーネントと; b) ファクトリー・モデリング・ライフサイクル活動
    フレームワーク・コンポーネントと;及び c) 生産制御及び追跡ライフサイクル活動フレームワ
    ーク・コンポーネントとを有するファクトリーオートメ
    ーションライフサイクルを含むデジタル・コード化され
    た第一のデータ構造を具備するデータ記憶装置。
  57. 【請求項57】前記管理ライフサイクル活動フレームワ
    ーク・コンポーネントは、セキュリティ・コンポーネン
    ト、GUIコンソール・コンポーネント、実行並びにラ
    イセンス管理コンポーネント、及びサガ管理コンポーネ
    ントを具備するグループから選択された一つ以上のフレ
    ームワーク・コンポーネントを具備することを特徴とす
    る請求項56に記載の装置。
  58. 【請求項58】前記ファクトリー・モデリング・ライフ
    サイクル活動フレームワーク・コンポーネントは、コン
    テクスト・レゾリューション・コンポーネント、構築管
    理コンポーネント、及びカレンダ・コンポーネントを具
    備するグループから選択された一つ以上のフレームワー
    ク・コンポーネントを具備することを特徴とする、請求
    項56に記載の装置。
  59. 【請求項59】前記生産制御並びに追跡ライフサイクル
    活動フレームワーク・コンポーネントは、視覚的ワーク
    フロウ・コンポーネント、リソース調整コンポーネン
    ト、イベント監視コンポーネント、及びリソース表コン
    ポーネントを具備するグループから選択された一つ以上
    のフレームワーク・コンポーネントを具備することを特
    徴とする、請求項56に記載の装置。
  60. 【請求項60】生産結果ライフサイクル活動フレームワ
    ーク・コンポーネントの一つ以上の解析を追加的に具備
    することを特徴とする、請求項56に記載の装置。
  61. 【請求項61】前記生産結果ライフサイクル活動フレー
    ムワーク・コンポーネントの一つ以上の解析は、データ
    ・マネージャ・コンポーネントを具備することを特徴と
    する請求項60に記載の装置。
  62. 【請求項62】GUIコンソール・フレームワーク・コ
    ンポーネントと相互干渉するために適応する複数のフレ
    ームワーク・コンポーネントを具備することを特徴とす
    る、請求項56に記載の装置。
  63. 【請求項63】アプリケーション・コンポーネントを含
    むデジタル・コード化された第二のデータ構造を追加的
    に具備し、前記第一のデータ構造は、前記第二のデータ
    構造を管理するために適応することを特徴とする、請求
    項56に記載の装置。
  64. 【請求項64】前記フレームワーク・コンポーネントの
    一つ以上を形成するためのソフトウェア・ビルディング
    ・ブロックを含むデジタル・コード化された第三のデー
    タ構造を追加的に具備することを特徴とする、請求項6
    3に記載の装置。
  65. 【請求項65】前記第一の、第二の、及び第三のデータ
    構造は、集積回路構造を処理するために適応することを
    特徴とする、請求項64に記載の装置。
  66. 【請求項66】a) デジタル・コード化されたフレー
    ムワーク・コンポーネントを含むファクトリーオートメ
    ーションライフサイクルを具備するデジタル・コード化
    された第一のデータ構造と;及び b) アプリケーション・コンポーネントを具備するデ
    ジタル・コード化された第二のデータ構造で、前記第一
    のデータ構造は、前記第二のデータ構造を変更するため
    に適応する前記第二のデータ構造とを具備するデータ記
    憶装置。
  67. 【請求項67】前記フレームワーク・コンポーネント
    は、セキュリティ・コンポーネント、GUIコンソール
    ・コンポーネント、実行並びにライセンス管理コンポー
    ネント、サガ管理コンポーネント、コンテクスト・レゾ
    リューション・コンポーネント、構築管理コンポーネン
    ト、カレンダ・コンポーネント、視覚的ワークフロウ・
    コンポーネント、リソース調整コンポーネント、イベン
    ト監視コンポーネント、リソース表コンポーネント、及
    びデータ・マネージャ・コンポーネントを具備するグル
    ープから選択された一つ以上のコンポーネントを具備す
    ることを特徴とする、請求項66に記載の装置。
  68. 【請求項68】前記アプリケーション・コンポーネント
    は、品質管理コンポーネント、ツール統合コンポーネン
    ト、装置管理コンポーネント、レシピ管理コンポーネン
    ト、発送並びにスケジュール・コンポーネント、材料取
    り扱いコンポーネント、進行中作業コンポーネント、及
    びレガシー・システム・インターフェース・コンポーネ
    ントを具備するグループから選択された一つ以上のコン
    ポーネントを具備することを特徴とする、請求項66に
    記載の装置。
  69. 【請求項69】サーバ構築ビルディング・ブロック、持
    続性ビルディング・ブロック、共通GUI制御ビルディ
    ング・ブック、パブリッシュ・サブスクライブ・メッセ
    ージ・ビルディング・ブロック、ダイナミックAPI発
    見ビルディング・ブロック、関連ビルディング・ブロッ
    ク、履歴ビルディング・ブロック、汎用サービス実行者
    ビルディング・ブロック、分類ビルディング・ブロッ
    ク、顧客規定属性ビルディング・ブロック、状態モデル
    ・ビルディング・ブロック、ネーム空間ビルディング・
    ブロック、スケジュール/デートブック・ビルディング
    ・ブロック、テンプレート・ビルディング・ブロック、
    変形オブジェクト・ビルディング・ブロック、及びナビ
    ゲーション・ビルディング・ブロックを含むグループか
    ら選択されたひとつ以上のソフトウェア・ビルディング
    ・ブロックを含む、デジタル・コード化された第三のデ
    ータ構造を追加的に具備することを特徴とする、請求項
    66に記載の装置。
JP2000231003A 1999-07-29 2000-07-31 コンピュータ統合製造技術 Pending JP2001143981A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/363,966 US7069101B1 (en) 1999-07-29 1999-07-29 Computer integrated manufacturing techniques
US09/363966 1999-07-29

Publications (2)

Publication Number Publication Date
JP2001143981A true JP2001143981A (ja) 2001-05-25
JP2001143981A5 JP2001143981A5 (ja) 2009-10-08

Family

ID=23432479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000231003A Pending JP2001143981A (ja) 1999-07-29 2000-07-31 コンピュータ統合製造技術

Country Status (7)

Country Link
US (2) US7069101B1 (ja)
EP (1) EP1072967B1 (ja)
JP (1) JP2001143981A (ja)
KR (1) KR100779436B1 (ja)
DE (1) DE60030369T2 (ja)
SG (1) SG85207A1 (ja)
TW (1) TW514771B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007148640A1 (ja) * 2006-06-19 2007-12-27 Hitachi Kokusai Electric Inc. 基板処理システムおよび動作検証方法
WO2009028537A1 (ja) * 2007-08-29 2009-03-05 Tokyo Electron Limited 製造装置、情報処理方法、及びプログラム
JP2009522773A (ja) * 2005-12-30 2009-06-11 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド クラスタ装置のための自動状態推定システム、およびこれを動作させる方法

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US8028049B1 (en) * 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US7403984B2 (en) * 2000-02-01 2008-07-22 Asyst Technologies, Inc. Automated tool management in a multi-protocol environment
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
US9785140B2 (en) 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
CA2353238C (en) * 2000-07-21 2013-10-08 Ricoh Company Ltd. Component management system and method
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
EP1328890A2 (de) * 2000-10-20 2003-07-23 Siemens Aktiengesellschaft System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US20020165806A1 (en) * 2001-01-23 2002-11-07 Kataria Anjali Rani System and method for managing a regulated industry
US7487182B2 (en) * 2001-01-23 2009-02-03 Conformia Software, Inc. Systems and methods for managing the development and manufacturing of a drug
US7801777B2 (en) * 2001-01-23 2010-09-21 Oracle International Corporation System and method for managing the development and manufacturing of a beverage
US6801817B1 (en) * 2001-02-20 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple process controllers
US6782343B2 (en) * 2001-02-28 2004-08-24 Asm International N.V. Resource consumption calculator
US20020152046A1 (en) * 2001-04-13 2002-10-17 Velichko Sergey A. Concurrent control of semiconductor parametric testing
WO2002088677A1 (en) * 2001-04-26 2002-11-07 Therma-Wave, Inc. Measurement system cluster
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7031783B2 (en) * 2001-06-29 2006-04-18 Agilent Technologies, Inc. Virtualized generic equipment model data and control router for factory automation
US7756963B2 (en) * 2001-07-05 2010-07-13 PEER Intellectual Property, Inc. Automated tool management in a multi-protocol environment
US6970758B1 (en) * 2001-07-12 2005-11-29 Advanced Micro Devices, Inc. System and software for data collection and process control in semiconductor manufacturing and method thereof
DE10161064A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Kommunikation zwischen Softwareapplikationen, insbesondere MES-Applikationen
DE10161111A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Projektierung von Transformationen von Objektbäumen
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
JP2003308366A (ja) * 2002-04-15 2003-10-31 Mitsubishi Electric Corp 製造管理方法
US20030217053A1 (en) * 2002-04-15 2003-11-20 Bachman George E. Context control mechanism for data executed in workflows of process, factory-floor, environmental, computer aided manufacturing-based or other control system
US7006880B2 (en) * 2002-04-19 2006-02-28 Phred, Llc Method for controlling a device with a control system
AU2003253594A1 (en) * 2002-04-23 2003-11-10 Tokyo Electron Limited Method and apparatus for simplified system configuration
US7162386B2 (en) 2002-04-25 2007-01-09 Micron Technology, Inc. Dynamically adaptable semiconductor parametric testing
US6907305B2 (en) * 2002-04-30 2005-06-14 Advanced Micro Devices, Inc. Agent reactive scheduling in an automated manufacturing environment
US6701199B1 (en) * 2002-08-22 2004-03-02 Chartered Semiconductor Manufactoring Ltd. Methodology to obtain integrated process results prior to process tools being installed
US7234132B2 (en) 2002-08-29 2007-06-19 International Business Machines Corporation Application integration model for dynamic software component assembly within an application at runtime
US6957113B1 (en) * 2002-09-06 2005-10-18 National Semiconductor Corporation Systems for allocating multi-function resources in a process system and methods of operating the same
US20040059616A1 (en) * 2002-09-20 2004-03-25 Chih-Kuang Chang System and method for managing measurement work flow
WO2004046835A2 (en) 2002-11-15 2004-06-03 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7010451B2 (en) * 2003-04-17 2006-03-07 Micron Technology, Inc. Dynamic creation and modification of wafer test maps during wafer testing
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
US20050086120A1 (en) * 2003-10-16 2005-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of managing subcontracting for backend outsourcing business
GB0406663D0 (en) * 2004-03-24 2004-04-28 Cavendish Kinetics Ltd Information management and tracking system (IMTS)
US7127314B2 (en) 2004-03-25 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication monitoring system
WO2005101791A1 (en) * 2004-04-16 2005-10-27 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for handling user's attributes sharing between service providers
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) * 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
US7571078B2 (en) * 2004-05-20 2009-08-04 Sap Ag Time dependent process parameters for integrated process and product engineering
US7603262B2 (en) * 2004-05-20 2009-10-13 Sap Ag Time dependent process parameters and engineering change number conflict report
GB0414336D0 (en) * 2004-06-28 2004-07-28 Mood Internat Ltd Method and apparatus for managing and synchronising variant business structures
US8321545B2 (en) 2004-07-15 2012-11-27 Symbol Technologies, Inc. Service oriented platform architecture for a wireless network
US8108470B2 (en) * 2004-07-22 2012-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Message management system and method
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7206652B2 (en) * 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7359768B2 (en) 2004-10-29 2008-04-15 International Business Machines Corporation Route input system
US7305278B2 (en) * 2004-11-15 2007-12-04 International Business Machines Corporation Enterprise factory control method and system
US20060107898A1 (en) * 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
AT501642A1 (de) * 2005-03-25 2006-10-15 Ams Engineering Sticht Ges M B Verfahren zur steuerung einer fertigungsanlage
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US20060271223A1 (en) * 2005-05-27 2006-11-30 International Business Machines Corporation Method and system for integrating equipment integration software, equipment events, mes and rules databases
US20070033180A1 (en) * 2005-08-05 2007-02-08 Mitsubishi Electric Corporation Apparatus and method for searching for software units for use in the manufacturing industry
US7908164B1 (en) * 2005-08-09 2011-03-15 SignalDemand, Inc. Spot market profit optimization system
JP4957226B2 (ja) * 2005-12-15 2012-06-20 富士通セミコンダクター株式会社 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
US7801689B2 (en) * 2006-07-17 2010-09-21 Oracle International Corporation Systems and methods for tracking the composition of distilled spirits in production and storage
JP2008078630A (ja) * 2006-08-24 2008-04-03 Hitachi Kokusai Electric Inc 基板処理システム
JP5045042B2 (ja) * 2006-09-19 2012-10-10 富士通株式会社 業務フロー編集プログラム、業務フロー編集装置および業務フロー編集方法
US7856279B2 (en) * 2006-09-29 2010-12-21 Rockwell Automation Technologies, Inc. Module structure and use for industrial control systems
US8078296B2 (en) * 2006-09-29 2011-12-13 Rockwell Automation Technologies, Inc. Dynamic procedure selection
US9058032B2 (en) * 2006-09-29 2015-06-16 Rockwell Automation Technologies, Inc. Hosting requirements for services
US8732658B2 (en) * 2006-09-29 2014-05-20 Rockwell Automation Technologies, Inc. Layered interface in an industrial environment
US7835805B2 (en) * 2006-09-29 2010-11-16 Rockwell Automation Technologies, Inc. HMI views of modules for industrial control systems
US9261877B2 (en) * 2006-09-29 2016-02-16 Rockwell Automation Technologies, Inc. Multiple machine interface
US8818757B2 (en) * 2008-09-30 2014-08-26 Rockwell Automation Technologies, Inc. Modular object and host matching
US8041435B2 (en) * 2008-09-30 2011-10-18 Rockwell Automation Technologies, Inc. Modular object dynamic hosting
US20080082577A1 (en) * 2006-09-29 2008-04-03 Rockwell Automation Technologies, Inc. Module classification and searching for industrial control systems
US8265775B2 (en) * 2008-09-30 2012-09-11 Rockwell Automation Technologies, Inc. Modular object publication and discovery
US9217998B2 (en) * 2006-09-29 2015-12-22 Rockwell Automation Technologies, Inc. Management and development of an industrial environment
US8776092B2 (en) * 2006-09-29 2014-07-08 Rockwell Automation Technologies, Inc. Multiple interface support
US7912560B2 (en) * 2006-09-29 2011-03-22 Rockwell Automation Technologies, Inc. Module and controller operation for industrial control systems
US8357913B2 (en) 2006-10-20 2013-01-22 Fei Company Method and apparatus for sample extraction and handling
JP5410286B2 (ja) 2006-10-20 2014-02-05 エフ・イ−・アイ・カンパニー S/temのサンプルを作成する方法およびサンプル構造
US7610177B2 (en) 2006-10-27 2009-10-27 Hewlett-Packard Development Company, L.P. Manufacturing execution system, equipment interface and method of operating a manufacturing execution system
TWI323016B (en) * 2006-12-25 2010-04-01 Ind Tech Res Inst Real-time fault detection and classification system in use with a semiconductor fabrication process
US20080301012A1 (en) * 2007-05-31 2008-12-04 Cogswell Thomas A Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems
US8738410B2 (en) * 2007-05-31 2014-05-27 The Boeing Company Methods and systems for managing electronic work instructions for manufacture of product
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US20090157216A1 (en) * 2007-12-14 2009-06-18 Chandrashekar Krishnaswamy Automated scheduling of test wafer builds in a semiconductor manufacturing process flow
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US20100057507A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Real-Time Manufacturing Routing Optimization Using Content and Quality Level
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US9335761B2 (en) * 2008-09-30 2016-05-10 Rockwell Automation Technologies, Inc. Procedure classification for industrial automation
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
TWI394089B (zh) * 2009-08-11 2013-04-21 Univ Nat Cheng Kung 虛擬生產管制系統與方法及其電腦程式產品
US8429671B2 (en) * 2009-10-21 2013-04-23 Exxonmobil Upstream Research Company Integrated workflow builder for disparate computer programs
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
WO2012043217A1 (ja) 2010-09-28 2012-04-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 複数の要素をグループ化する方法、プログラムおよび装置
US20120095585A1 (en) * 2010-10-15 2012-04-19 Invensys Systems Inc. System and Method for Workflow Integration
US8745634B2 (en) 2010-10-15 2014-06-03 Invensys Systems, Inc. System and method for integrated workflow scaling
US9354630B2 (en) 2011-05-19 2016-05-31 Universal Laser Systems, Inc. Flexible laser manufacturing systems and associated methods of use and manufacture
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US8713517B2 (en) 2011-07-21 2014-04-29 Lam Research Corporation Data architecture and user interface for plasma processing related software applications
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9551983B2 (en) * 2011-11-15 2017-01-24 Rockwell Automation Technologies, Inc. Activity set management in a Manufacturing Execution System
US9588503B2 (en) 2011-11-15 2017-03-07 Rockwell Automation Technologies, Inc. Routing of enterprise resource planning messages
US9953280B2 (en) 2011-11-15 2018-04-24 Rockwell Automation Technologies, Inc. Industry-specific workflows in a manufacturing execution system with premier integration
EP2610697B1 (en) 2011-12-28 2018-04-25 Yokogawa Electric Corporation System and method for managing life-cycle of batch in production control system in real time
EP2881903A1 (en) * 2013-12-03 2015-06-10 Siemens Aktiengesellschaft Managing design updates in a manufacturing execution system
US20140173554A1 (en) * 2014-02-24 2014-06-19 Arunav Gupta Platform and a method for development of a software application
WO2015131961A1 (en) 2014-03-07 2015-09-11 Systema Systementwicklung Dip.-Inf. Manfred Austen Gmbh Real-time information systems and methodology based on continuous homomorphic processing in linear information spaces
CN104281088B (zh) * 2014-05-27 2017-07-28 南京钢铁股份有限公司 一种热轧板带轧机的二级系统过程控制方法
US10372834B2 (en) 2016-01-15 2019-08-06 DISCUS Software Company Creating and using an integrated technical data package
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10299560B1 (en) 2017-11-10 2019-05-28 Follicle, LLC Battery operated hair dryer
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110297577A (zh) * 2019-05-07 2019-10-01 惠科股份有限公司 画面显示控制方法
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
US11803849B1 (en) 2020-07-30 2023-10-31 Mark Lawrence Method and apparatus for decentralized micro businesses
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US17256A (en) * 1857-05-12 Portable barrack
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ja) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
DE69132012T2 (de) 1990-10-16 2000-11-16 Consilium Inc Objektorientierte architektur für fabrikverwaltung
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) * 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5757259A (en) * 1994-07-28 1998-05-26 Caterpillar Inc. Anti-rotation device for joining a shell and encapsulated terminal/coil subassembly
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
AU2422797A (en) * 1996-03-28 1997-10-17 Bio-Analytics, Inc. Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) * 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US6064759A (en) * 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6059636A (en) * 1997-07-11 2000-05-09 Tokyo Seimitsu Co., Ltd. Wafer polishing apparatus
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR100366630B1 (ko) * 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009522773A (ja) * 2005-12-30 2009-06-11 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド クラスタ装置のための自動状態推定システム、およびこれを動作させる方法
WO2007148640A1 (ja) * 2006-06-19 2007-12-27 Hitachi Kokusai Electric Inc. 基板処理システムおよび動作検証方法
JP4797066B2 (ja) * 2006-06-19 2011-10-19 株式会社日立国際電気 基板処理システム、検証装置および検証装置の動作検証方法
US8266095B2 (en) 2006-06-19 2012-09-11 Hitachi Kokusai Electric, Inc. Substrate processing system and operation inspecting method
WO2009028537A1 (ja) * 2007-08-29 2009-03-05 Tokyo Electron Limited 製造装置、情報処理方法、及びプログラム

Also Published As

Publication number Publication date
EP1072967B1 (en) 2006-08-30
US7069101B1 (en) 2006-06-27
US7174230B2 (en) 2007-02-06
EP1072967A3 (en) 2001-11-21
SG85207A1 (en) 2003-11-27
US20020156548A1 (en) 2002-10-24
DE60030369D1 (de) 2006-10-12
KR100779436B1 (ko) 2007-11-26
DE60030369T2 (de) 2007-08-30
TW514771B (en) 2002-12-21
EP1072967A2 (en) 2001-01-31
KR20010030038A (ko) 2001-04-16

Similar Documents

Publication Publication Date Title
JP2001143981A (ja) コンピュータ統合製造技術
Colombo et al. An agent-based intelligent control platform for industrial holonic manufacturing systems
US6727106B1 (en) System and software for statistical process control in semiconductor manufacturing and method thereof
Choi et al. MES (manufacturing execution system) architecture for FMS compatible to ERP (enterprise planning system)
EP1910955B1 (en) Automated job management
Qiu et al. Mighty MESs; state-of-the-art and future manufacturing execution systems
US20030029383A1 (en) Dynamic control of wafer processing paths in semiconductor manufacturing processes
KR100860132B1 (ko) 기준선 제어 스크립트를 사용하여 툴을 제어하는 방법 및 장치
WO2006025945A1 (en) Semi conductor processing method using virtual modules
US6772034B1 (en) System and software for data distribution in semiconductor manufacturing and method thereof
WO2006025943A1 (en) Semiconductor processing method using virtual modules
US6839713B1 (en) System and software for database structure in semiconductor manufacturing and method thereof
Sakr et al. Building discrete-event simulation for digital twin applications in production systems
KR100804287B1 (ko) 복수의 프로세스 제어기를 통합하는 방법 및 장치
Sekkat et al. Developing integrated performance measurement system using component based approach
EP1316003B1 (en) Dispatching component for associating manufacturing facility service requestors with service providers
Diep et al. A distributed manufacturing execution system implemented with agents: the PABADIS model
Beaver et al. Architecture and overview of MMST machine control [semiconductor manufacturing facilities]
Scott et al. Integrated Manufacturing System: Intelligent and Integrated Execution System to Provide ‘Crystal’Shop Floor Information and Controlibility to Value Networks
Sieberg et al. A scheduling and resource optimising MES for the semiconductor and MEMS industry
Subbiah et al. Application of real-time expert systems in semiconductor manufacturing
Levi Knowledge-Based Modeling for Computer-Integrated Manufacturing
HORNYÁK et al. Manufacturing execution systems for advanced shop floor control
Luhn et al. Automation concept for complex production processes
Álvares et al. A methodology for web-based manufacturing management and control

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110630

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111117