TW514771B - Computer integrated manufacturing techniques - Google Patents
Computer integrated manufacturing techniques Download PDFInfo
- Publication number
- TW514771B TW514771B TW089114674A TW89114674A TW514771B TW 514771 B TW514771 B TW 514771B TW 089114674 A TW089114674 A TW 089114674A TW 89114674 A TW89114674 A TW 89114674A TW 514771 B TW514771 B TW 514771B
- Authority
- TW
- Taiwan
- Prior art keywords
- components
- scope
- patent application
- item
- life cycle
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 122
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 84
- 238000012545 processing Methods 0.000 claims abstract description 166
- 230000000694 effects Effects 0.000 claims abstract description 84
- 230000008569 process Effects 0.000 claims abstract description 44
- 238000012544 monitoring process Methods 0.000 claims abstract description 19
- 238000013439 planning Methods 0.000 claims abstract description 9
- 238000007726 management method Methods 0.000 claims description 55
- 230000010354 integration Effects 0.000 claims description 29
- 238000004891 communication Methods 0.000 claims description 23
- 239000000463 material Substances 0.000 claims description 23
- 238000004458 analytical method Methods 0.000 claims description 21
- 230000002079 cooperative effect Effects 0.000 claims description 21
- 238000013396 workstream Methods 0.000 claims description 15
- 241000196324 Embryophyta Species 0.000 claims description 12
- 238000010276 construction Methods 0.000 claims description 9
- 238000013500 data storage Methods 0.000 claims description 9
- 230000015654 memory Effects 0.000 claims description 9
- 238000011161 development Methods 0.000 claims description 7
- 238000009434 installation Methods 0.000 claims description 5
- 238000009826 distribution Methods 0.000 claims description 4
- 230000003993 interaction Effects 0.000 claims description 4
- 238000007639 printing Methods 0.000 claims description 4
- 238000012986 modification Methods 0.000 claims description 3
- 230000004048 modification Effects 0.000 claims description 3
- 241001247287 Pentalinon luteum Species 0.000 claims description 2
- 230000001276 controlling effect Effects 0.000 claims description 2
- 230000001105 regulatory effect Effects 0.000 claims 6
- 238000013475 authorization Methods 0.000 claims 5
- 230000005540 biological transmission Effects 0.000 claims 4
- PCTMTFRHKVHKIS-BMFZQQSSSA-N (1s,3r,4e,6e,8e,10e,12e,14e,16e,18s,19r,20r,21s,25r,27r,30r,31r,33s,35r,37s,38r)-3-[(2r,3s,4s,5s,6r)-4-amino-3,5-dihydroxy-6-methyloxan-2-yl]oxy-19,25,27,30,31,33,35,37-octahydroxy-18,20,21-trimethyl-23-oxo-22,39-dioxabicyclo[33.3.1]nonatriaconta-4,6,8,10 Chemical compound C1C=C2C[C@@H](OS(O)(=O)=O)CC[C@]2(C)[C@@H]2[C@@H]1[C@@H]1CC[C@H]([C@H](C)CCCC(C)C)[C@@]1(C)CC2.O[C@H]1[C@@H](N)[C@H](O)[C@@H](C)O[C@H]1O[C@H]1/C=C/C=C/C=C/C=C/C=C/C=C/C=C/[C@H](C)[C@@H](O)[C@@H](C)[C@H](C)OC(=O)C[C@H](O)C[C@H](O)CC[C@@H](O)[C@H](O)C[C@H](O)C[C@](O)(C[C@H](O)[C@H]2C(O)=O)O[C@H]2C1 PCTMTFRHKVHKIS-BMFZQQSSSA-N 0.000 claims 1
- 229940037003 alum Drugs 0.000 claims 1
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 238000013523 data management Methods 0.000 claims 1
- 238000012502 risk assessment Methods 0.000 claims 1
- 239000011257 shell material Substances 0.000 claims 1
- 235000015170 shellfish Nutrition 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 75
- 230000006870 function Effects 0.000 description 28
- 238000005516 engineering process Methods 0.000 description 24
- 239000004065 semiconductor Substances 0.000 description 18
- 238000010586 diagram Methods 0.000 description 11
- 238000012360 testing method Methods 0.000 description 8
- 230000008859 change Effects 0.000 description 6
- 238000012423 maintenance Methods 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- 230000007774 longterm Effects 0.000 description 5
- 238000004886 process control Methods 0.000 description 5
- 238000002872 Statistical quality control Methods 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 230000000875 corresponding effect Effects 0.000 description 3
- 238000013480 data collection Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000012384 transportation and delivery Methods 0.000 description 3
- 244000025254 Cannabis sativa Species 0.000 description 2
- 235000012766 Cannabis sativa ssp. sativa var. sativa Nutrition 0.000 description 2
- 235000012765 Cannabis sativa ssp. sativa var. spontanea Nutrition 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 235000009120 camo Nutrition 0.000 description 2
- 235000005607 chanvre indien Nutrition 0.000 description 2
- 238000007405 data analysis Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 239000011487 hemp Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000003449 preventive effect Effects 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 238000005070 sampling Methods 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- 230000000007 visual effect Effects 0.000 description 2
- JYOUATXRHWNDDW-YRCZKMHPSA-N (2s)-2-[[(2s)-2-[[(3s)-2-[(2s)-2-amino-3-(4-hydroxyphenyl)propanoyl]-3,4-dihydro-1h-isoquinoline-3-carbonyl]amino]-3-phenylpropanoyl]amino]-3-phenylpropanoic acid Chemical compound C([C@H](N)C(=O)N1[C@@H](CC2=CC=CC=C2C1)C(=O)N[C@@H](CC=1C=CC=CC=1)C(=O)N[C@@H](CC=1C=CC=CC=1)C(O)=O)C1=CC=C(O)C=C1 JYOUATXRHWNDDW-YRCZKMHPSA-N 0.000 description 1
- 229910052778 Plutonium Inorganic materials 0.000 description 1
- YZCKVEUIGOORGS-NJFSPNSNSA-N Tritium Chemical compound [3H] YZCKVEUIGOORGS-NJFSPNSNSA-N 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000001186 cumulative effect Effects 0.000 description 1
- 238000013499 data model Methods 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 210000004907 gland Anatomy 0.000 description 1
- 230000035876 healing Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000002440 industrial waste Substances 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 235000012054 meals Nutrition 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000011859 microparticle Substances 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000002085 persistent effect Effects 0.000 description 1
- 239000000825 pharmaceutical preparation Substances 0.000 description 1
- 229940127557 pharmaceutical product Drugs 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- OYEHPCDNVJXUIW-UHFFFAOYSA-N plutonium atom Chemical compound [Pu] OYEHPCDNVJXUIW-UHFFFAOYSA-N 0.000 description 1
- 239000002574 poison Substances 0.000 description 1
- 231100000614 poison Toxicity 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000012958 reprocessing Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000007619 statistical method Methods 0.000 description 1
- 238000012549 training Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 229910052722 tritium Inorganic materials 0.000 description 1
- 108010063876 tyrosyl-1,2,3,4-tetrahydro-3-isoquinolinecarbonyl-phenylalanyl-phenylalanine Proteins 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
- G05B19/41845—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by system universality, reconfigurability, modularity
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31018—Virtual factory, modules in network, can be selected and combined at will
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31202—Semiconductor equipment communication standard SECS
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45026—Circuit board, pcb
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/80—Management or planning
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Quality & Reliability (AREA)
- General Engineering & Computer Science (AREA)
- Automation & Control Theory (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Factory Administration (AREA)
- Management, Administration, Business Operations System, And Electronic Commerce (AREA)
Description
514771 A7 五、發明說明() I明領域: 本發明係關於電腦整合性製造之技術。 I明背景: 如ic(積體電路)等半導體裝置一般會具有例如像是 電晶體、二極體與電阻器等,並經整合製作於單一之半導 m材料實體上的各種電子迴路元素β各式迴路元素間係透 過導電性連接器而彼此相接,藉以構成一完整迴路,其中 可含納數以百萬計之個別迴路元素。積體電路一般係由半 導體晶圓經過包含一系列處理步驟的眾多處理程序所製 成。該項處理一般稱之為晶圓製作或者是晶圓加工(Wafer fab),包含像是氧化、蝕刻遮罩製作、蝕刻材料沉積、鉋 平與潔淨作業。 第1圖中略示一種鋁質閘PMOS (即p-通道金屬氧化 半導體電晶體)晶圓加工製程40的簡圖,該圖中說明如由 W.R. Runyan 等人所著並經 Addison-Wesley Publ. Comp. Inc.公司於 1 994 年所出版之「Semiconductor Integrated
Circuit Processing Technology」乙書第48頁所描述的各 項主要步驟41到73。該些主要製程步驟内一般會包括多 項子步驟。例如,像是藉由在晶圓加工内室中的嘴賤沉積 方式以產生一鋁質層的金屬化之主要步驟,即如插述於美 國專利案號5,108,570 (R.C. Wang,1992)。該嘴賤沉積處 理可參閱第2圖如程序80的子步驟8 1到97所緣。 第1及2圖顯示一系列的晶圓加工處理程序。同時亦 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項 再 頁 經濟部智慧財產局員工消費合作社印製 514771 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 已知利用可提供多項平行處理步驟的晶圓加工子系統。該 款加工系統一般會包含有單一或多個蔟集機具。所謂藏集 機具茲定義為包含有一内室與晶圓處理設備之系統,諸晶 圓係於該簇集機具内室内加以處理,而無須讓某受控之義 集機具環境變成為真空狀態。該簇集機毒範例可為如美國 專利案號5,236,868 (J· Nulman,1993)所述,其中採用—種 具有中央内室與四個處理内室的真空裝置。該中央内室裡 的設有一晶圓處理機械手臂,且可伸取到各個處理内室的 内部’以便將晶圓由該中央内室傳送到各個處理内室,@ 同時仍得保持晶圓於真空狀態之下。在一範例裡,在 該’868文中其簇集裡的晶圓首先會被傳送到潔淨内室以 便進行處理,之後送往PVD(實體蒸氣沉積)内室,接著再 送至韌化内室,然後再送至除氣内室,依此循用一系歹彳孝呈 序。刻亦已知如該,868專利文中所揭示採用蔟集機具以平 行方式處理内室裡的晶圓。例如,倘若在某一緩慢步驟之 後隨即為一速捷步驟,則其中三個内室可按照平行方式來 進行該緩慢步驟,而第四個内室則用於進行該速捷步驟。 為將未經處理或是部分處理之晶圓存量保持於—最 小值,藉此減少因晶圓加工製程而所衍生之半導體裝置的 單位成本至最低,則有效的晶圓存量管理實屬必要。減低 處理用之晶圓存量至最少值,亦可有助於晶圓產出因為 眾知晶圓處理的時間愈長,其產出量即愈低。而一般係使 用排程技術來管理晶圓存量,藉此將該設備產能就以要托 既經處理晶圓的觀點來說予以最大化,譬如像藉由將 飞貝 第3頁 -I I I l· I I I ·11111111 I— — — — — — — — — — . f請先閱讀背面之注音?事項再填寫本頁) -¾ A7 五、發明說明() 平行或序列處理步驟另加 於熟抢本項技藝之人士而言處理程序瓶頸。對 理係由程序内追蹤作業所執行:^序内《晶圓存f管 ^ ,, θ π 例如追蹤整個晶圓加工過 私中的《日圓批製與晶圓卡盒。 有效的晶圓加工存量管理也 會要求不應經常出現瓶頸或 ^ ^ ^ 疋肇因於未經排程之停機時 間的ΐ斷♦問題狀況,而該歧 一知機時間可為像是未經排程 之維奴、起因於處理參數超出 由頂疋其標定限值的中斷,如 處理氣體等之必要材質缺料、 ^ 义要維修更換零件缺貨或是 如内室等處理機具無法使用等等。 晶圓加工内的諸多元件亦 一 ;方夕兀仵或疋子系統均已為自動化,藉 以達到高度的處理可靠性與爯钿 兴舟^性,並可將產出予以最大 化。如内室等之晶圓加工機且一參 娜,、般係文控於電腦,該電腦 使用眾知之作業集(Recipe)指令组,a y n、、4 ^ 、 F 7組,以操作蔹些程序而由 該機具執行完成《然而,應瞭解到整合有眾多處理與量測 諸疋的咼度自動化作業係極難達成,原因是諸多晶圓加工 程序彼此間的複雜度與相關性,請參閱如peter van Zandt 所著 ’ McGraw-Hill 公司 1997 年印行之「Micr〇chip
Fabrication」乙書第三版第472至4?8頁所示之範例。如 晶圓加工之製造系統現已知可採行提供MEs (製造執行系 統)功邊之軟體來進行。取好’晶圓加工MES應可對整個 晶圓加工過程予以整合,藉以達成中央化的晶圓加工管理 與控制。然而對於熟捻本項技藝之人士而言,眾知商業性 晶圓加工一般會含納來自於不同設備製造麻商的各種半 導體處理機具,造成當試圖發展出一種整合性MES時即 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 請 先 閱 讀 背 面 之 注 意 事 項 再
頁 訂 經濟部智慧財產局員工消費合作社印製 514771 A7
五、發明說明() 經濟部智慧財產局員工消費合作社印製 產生機具相容性的困難。目前可用之 J川又日θ回加工 MES的另 外-項缺點是,需要對各個晶圓加工處理程序變動進行昂 貴的軟體設計’例如像是需要更動該作業集、増列或替換 某機具m變該項晶圓加工而換為另夕卜他種晶圓加工 作業。 對於熟檢本項技藝之人士而言,眾知半導體製作設備 的各種功能,包括例如像是晶圓加工等,可由像是第3圖 中所略列之六個陳述句的基本裝置陳述句加以定義,請參 閱「國際半導體設備與材料(SEMI)」單位於1996年所出 版之「SEMI E10-96, Standard for Definition and Measurement of Equipment Reliability, Availability and Maintainability (RAM)」乙書第1 一 23頁。半導體業界一 般會採用該些六個陳述句,根據與操作執行者無關之各項 功能性設備主題,而測量與表示設備之RAM (即可靠性、 可用性及維持性)。這六個基本裝置陳述句包括有未排程 時間1 0 2 (如第3圖)、未排程之停機時間1 〇 4、經排程之 停機時間106、工程時間108、待機時間110與產製時間 1 1 2。該未排程時間1 02表示該設備並未排程生產的時段, 例如休工班時。而未排程之停機時間1 04則是關於該項設 備未能進入執行其應履功能的狀況之時段,例如像是設備 修復時段。而經排程之停機時間106是出現在當該設備確 足可執行其功能但並卻不可用於此之時’例如程序設定或 是預防性維護等。工程時間1 0 8是有關於該設備執行如設 備評估等工程性測試的時段内。而待機時間1 1 〇是指即使 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂: •線· 514771 A7 五、發明說明() 是在正執行所欲功能,並且確足可執行其功能的情況下, 亦並未對設備進行操作,例如暫無操作人員或是無相關之 資訊系統輸入。生產時間丨丨2表示該設備正執行其功能, 如正常生產與再製。 參見第3圖,該整體時間丨丨4係整個既測過程之時段 加總;該項包括前述六個設備狀態1〇2、1〇4、1〇6、1〇8、 110與1 12。作業時間1 16是指狀態104、106、1〇8、110 與1 1 2的總體時段。該作業時間丨丨6内包括了含有狀態 1 0 4、1 〇 6的设備停機時間1 1 8,以及設備復機時間1 2 0。 該設備復機時間120則包括工程時間丨與製造時間 122’其中含有待機時間11〇和生產時間I〗]。 經濟部智慧財產局員工消費合作社印製 第4與5圖提供更細部圖示,說明如該第3圖的六個 設備狀態’參考如SEMI E10-96中第1-6頁。在第4圖裡, 琢整體時間1 1 4是由未排程時間i 〇2與作業時間丨丨6所組 成。該未排程時間1 〇2包含如休工班時丨3 〇,設備安裝、 修改重建或是昇、級132,離線刻、練i 34以及關機或開機時 段136。而該作業時間116如第5圖所示可包含設備停機 時間118與設備復機時間120。該設備停機時間118包含 未排程之停機時間104與經排程之停機時間1〇6。該未排 程之停機時間104包括維護延遲停機時間14〇,修復時間 142,耗材/化學品更換144,規格輸入耗盡146或是設施 相關停機時間148。而該經排程之停機時間1〇6中包括維 護延遲停機時間150,生產測試152,預防性維護154,耗 材/化學品更換丨56,設定作業158或是設施相關(停機時 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格<210 X 297公釐) 〕丄4//丄 A7 B7 五、發明說明( 步驟|果在各相鄰IC層之間互連的餘刻遮罩覆 I或疋對齊作業中出現任何錯誤,則互連結果即無法達到 其適當之設計位置。彡會使得互連點堆積過於&密,形成 該些互連點間的電子短路缺陷。眾知有兩種不同的處理問 題會產生累積性效應。例如,不夠寬闊到造成電子短路的 互連蚀刻遮罩因為對齊不正,而若該程序略為冑離規格而 誤納(或是未偵測出)微粒子污染,且其粒子的大小係假設 該互連遮罩對齊正確時並不會引起電子短路,但因發生對 齊不正問題,故則仍是會造成電子短路。 經濟部智慧財產局員工消費合作社印製 如前述之處理及/或材料缺陷一般會降低晶圓加工的 產出,而其中該產出項係定義為於某特定加工過程中所生 產之可接受晶圓的百分比。程序内測試與處理參數監視可 用來作為決定某一給定之程序内產品或程序的問題或缺 陷,是否表示該程序執作内的千預作業確屬必要,如進行 處理調整作業或甚取消該項執作。因此,產品與程序控制 技術即廣泛運用於整個晶圓加工的過程内。只要可能,即 回返追蹤產出問題到某一特定產品或處理程序的問題或 缺陷,最終藉此得以改進晶圓加工的產出。高產出乃眾所 希求,以便將各個既經處理之晶圓的製作成本最小化,並 將如電力、化學物及水等資源使用率最大化而同時將廢料 再製或處置部分減少到最低。 眾知可利用spc (統計程序控制)與SQC (統計品質控 制)方法,來決定適當的晶圓加工控制界限,並且將程序 維持在該些界限之内,請參閱R· Zorich所著’ Academic 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) Μ 4771 Α7 Β7 五、發明說明( Γ^Ί ; 1 ^ y 〇 如第5圖之設備復機時間12〇包含工程時間ι〇8與製 造時間122。該工程時間108中包括程序實驗16〇及設備 實驗162。該製造時間122,其中含有待機時間ιι〇和生 產時間112、該待機時間110中包括無操作員18〇、無生 產182、無支援機具184或是某相關簇集模組停機186等 各個時段。而生產時間112則是關於正 第 三者作請、再製194或是某工程執作二個二 如第3 -5圖中所述之各種設備狀態,可提供半導體產業一 種用於通訊與評估ram相關設備資訊之基礎。該ram相 關設備資訊中包含數項對於熟捻本項技藝之人士所廣為 眾知之主題,如:設備可靠性、設備可㈣、設備維護性 與設備利用度,參考SEMIE10_96第611頁。一般說來, MES功能可用以追蹤有關於在如晶圓加工的製造系統裡 其設備狀態的資訊。 半導體材料、程序與測試技術的進步,降低了⑴迴 路元素的整體體積,而同時卻増加了單—個體中的數量。 如此,則會對於各個處理步驟以及各個處理步驟或序列之 組合’要求高度的產品與程序控制。因而在處理如處理氣 體等材料時,必須要控制其不純淨或微粒子污染問題。同 時’亦必須控制如溫度、壓力、氣體流率、處理時間間隔 與輸入喷濺功率等諸項處理參數。如第12圖所示,晶 圓加工包括有複雜的處理步驟序列,而其中無論是任何$ 定之處理步m果均極度仰賴單—或多個先前之處理 第7頁 請 先 閲 讀 背 面 之 注 意 事 項 再 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(qsjs)A4規格(210 X 297公釐
A7
五、發明說明() 經濟部智慧財產局員工消費合作社印製
Press Inc·公司於 1991 年所出版之「Handbook of Quality Integrated Circuit Manufacturing」乙書内第 464 — 498 頁 列示的範例。適合於晶圓加工的SPC與SQC法則中,包 含了使用多項控制圖表,即如r. Zorich於該文第475-498 頁中之範例所述。對於熟捻本項技藝之人士而言,可知所 謂控制圖表,係指一種對於單一或是多個既選之如内室壓 力等程序或產品變數,而在時間上加以取樣的圖形表示。 藉由廣所眾知的統計取樣與計算方法,將某一特定變數之 目標值以及其上下控制界限指設於該表内^如當受測之變 數值,或是由統計方式所導出如多項受測數值之平均值超 出某一預定的控制界限時,則該程序係被視為失控。彼等 控制界限一般係按多個目標數值之平均值的標準差而加 設定,例如像是2 σ或是3 σ。該目標數值係由一組測試 執作或是生產執作所導出,且符合於該晶圓加工設計關於 產出、程序控制與生產品質等諸項標準。當應用於上述情 況下時,該SPC與SQC即可視為同義字,請參閱R z〇rich 乙文第464頁說明。 因此,即需要數種可提供出經改善由電腦實作之半導 體製造技術整合作業的方法與技術,藉以將程序控制、品 質、產出與成本減低等方面最佳化。同時,亦需要一種透 過電腦整合之製造系統的中央式加工管理與控制,而得以 進行處理程序或是更換設備,卻無須涉及到廣泛的軟體程 式設計。 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再#^本頁) -裝- 太 . --線- 514771 A7 -----B7____ 五、發明說明() 發明目的及概诚: 本發明可提供用於整合性製造過程的新式技術,特別 是用於如半導體晶圓的積體電路框架。彼等新式技術可提 供所需之電腦整合改良結果。 本發明之一具體實施例裡,提供一種新型的工廠自動 式生命週期,其中包括用以發展與整合、安裝與監管、工 廠模型化、製程規劃、製程控制、監視及追蹤的生命週期 活動SW,以及用以分析製程結果的生命週期活動。生命 週期活動分析製程結果的輸出裡,可提供給其他如工廢模 型化等的生命週期活動作為其輸入項。框架元件會被與各 式的生命週期活動進行關連。 本發明之另一具體實施例裡’提供一種用以管理處理 系統的新型方法,其中包括了利用諸框架軟體元件、應用 軟體元件及軟體建置區塊《而這些應用元件可提供各項指 令以管理系統,而諸框架元件則是用於管理這些應用元 件。該些建置區塊係調適為構成或修飾框架與應用元件。 工戚自動式生命週期裡包含彼等框架元件。本新型方法採 用一種新式的機具整合元件,以發訊出諸項指令給系統的 處理機具。該機具整合元件中包含一機具介面程式以及一 機具整合元件調整器。可藉由輸入資料來修改管理系統之 諸項指令。 本發明之另一具體實施例裡,提供一種用以處理某項 產品之新型方法,其中包括了決定彼等處理該產品之规 格,然後藉由新式的分散式工廠系統框架來管理程序,該 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)"^ (請先閱讀背面之注意事項再填寫本頁) 0 線- 經濟部智慧財產局員工消費合作社印製 514771 A7 B7 五、發明說明( 經 濟 部 智 慧 財 產 局員 工 消 费 合 作 社 印 製 框架中包含框架元件、應用元件與3~建置區塊。如有需 要,可藉由輸入資料來修改該新式的分散式工嚴系統。電 腦實作之管理指令是由諸應用元件所構成。可利用例如像 是機具整合元件,將這些指令通訊發送至該程序以製作某 項產品。接著,這些指令會於例如像是製造積體電路結構 等的程序中被加以實作。 本發明之又另一具體實施例裡,提供一種用以啟動晶 圓加工執作之新型方法,其中包括了決定一處理步驟序 列,並且接著構成該項序列的工作串流,而該串流可藉某 一視像工作串流元件加以定義。該視像工作串流元件係含 納於一新式且包括有框架元件與應用元件的分散式工廠 系統框架内。然後’再利用某程序管理元件中的工作或是 GUI ’來對該視像工作串流元件提出請求以啟動該執作。 本發明之另一具體實施例裡提供一種裝置,其中包括 了產品處理設備、中央處理單元、用以將該產品處理設備 操作性連接至該中央處理單元之鏈路、用以儲存經數位編 碼之資料結構的記憶體以及包含有新式工廠自動化生命 週期之資料結構。本發明具體實施例裡也提供一種可包括 應用元件與建置區塊元件的資料結構。 本發明之尚另一具體實施例裡,提供一種用以管理一 處理系統之分散式工廠系統框架,其中包括了由諸框架元 件所組成之經數位編碼第一資料結構以及由應用元件與 用以將數位編碼指令發奴牵兮考 7贫矾至該處理系統之鏈路所組成之 第二資料結構。
本紙張尺度適財國g家標準(CNS)A4規格(2ι〇 X 第11頁 297 (請先閱讀背面之注音?事項再本頁) -IST· -線- 514771
經濟部智慧財產局員工消費合作社印製 五、發明說明() 然又本發明另一具體實施例裡,提供一種新式裝置, 而其中係由處理設備,與用以管理某處理系統的新式分散 式工麻系統框架所組成。 在另外其他的许多具體實施例裡,可提供新式的儲存 裝置,包含有如新式的工廠自動化生命週期活動資料結 構、框架元件資料結構、應用元件資料結構以及建置區塊 資料結構等等各種的資料結構β 圖式簡輩說明: 第1圖為一略示先前技藝晶圓加工程序之流程圖。 第2圖為一略示先前技藝晶圓加工噴濺金屬化程序之流程 圖。 第3圖為一略示先前技藝裡設備時間狀態之堆疊圖。 第4圖為一顯示先前技藝裡如第3圖所繪堆疊圖之設備時 間狀態區塊圖。 第5圖為一顯示先前技藝裡如第3圖所繪堆疊圖之設備時 間狀態區塊圖。 第6圖為一略示本發明工廠自動化生命週期之區塊圖。 第7圖為一說明本發明諸框架元件間互動之區塊圖。 第8圖為一圖示本發明諸項元件伺服器之區塊圖。 第9圖為一圖示本發明機具整合元件之區塊圖^ 第1 0圖為一說明本發明一序列由視像工作串流送往某機 具介面程式的諸訊息之略圖。 發明詳細說明: 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I---11-------^ --------^---- -----^ (請先閱讀背面之注意事項再填寫本頁) 514771 A7 B7 五、發明說明( 在說明本發明與其具體實施例時,為簡化起見將會採 用多項術語。其目的係該些術語可含納所述之具體實施例 與其等同項目。 本發明之一具體實施例中,可提供一 DFS/F (分散式 工廠系統框架)SW (軟體)環境,藉以自動化、整合與協調 含有各種叹備步騾、決策步驟及資料步驟的工廠mes (維 護執行系統),而彼可出現於處理、製造或產製系統或設 施,像是晶圓加工處理或產製如1(:(積體電路)等的半導體 結構内。在此所定義之「FW (框架)」乙詞,為包含一組 經鏈結之諸SW結構、元件或類別的類集,可提供某項功 能或某組服務。而在此所定義之Γ MES」乙詞,則是包括 一組S W資料結構之類集,可用以啟動處理相關任務、管 理及/或控制進行中之工作,和提供像是材料、設備、資訊 與歷史資料的資源利用以便執行處理/製造/產製任務等 等’而另外亦可選擇性地包括測試與資料收集任務。在此 所定義之「1C結構」乙詞,包括各種完整構成之1C與部 分構成之1C。 本發明之DFS/F裡包括了新式的FALC (工廠自動化 生命週期)200,即如第6圖所示,以構成一整合式工廠自 動化MES之整體結構。該FALC 200係調適作為整合、自 動化、管理或控制各種處理、製造或產製系統或設施内, 許多會與製造方面相關連之特點,藉此而構成工廠模型。 這些特點可包含如像是如晶圓加工機具的晶圓加工相關 設備,像是如晶圓加工處理程序的方法,像是如晶圓加工 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項 再
頁 訂 經濟部智慧財產局員工消費合作社印製 /丄 A7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 處理氣體,像是如處理中晶圓存貨控制的存貨控制,程序 中工作狀態決定、評估處理中測試資料、監視設備功能與 品質管理特性’像是如SPC (統計程序控制”該新式生命 週期另外亦得整合自不同機具、設備或軟體供應廠商所來 的機具、設備或軟體,提供一種既經協調之製造或產製設 施’而其中可整合多種機具。 新式FALC 2 00,即如第6圖所示,包括了一種SW 發展與整合生命週期活動21〇、安裝與監管生命週期活動 220、工廠模型化生命週期活動23〇、製程規劃生命週期活 動240、製程控制、監控與追蹤生命週期活動25〇以及製 造結果生命週期活動分析260。如該第6圖所示,該製造 結果生命週期活動分析260之既選輸出,可提供給其他生 命週期的生命週期活動以做為回饋之用,例如像是SW發 展與整合生命週期活動210、工廠模型化生命週期活動230 與製程規劃生命週期活動240。關於彼等生命週期活動之 間的輸出輸入互動,可與用以分析製造結果的生命週期活 動260說明互相關連而得進一步詳細闡述之。 本發明中FALC 200各式的生命週期活動包括SW。 並需要硬體部分、設備或裝配以便支援、操作或利用該 SW,可提供六種FALC 200生命週期活動的功能。該FALC 200軟體内包括FW SW元件。該等SW元件定義共同系統 或工廠作業規則與服務,並且該等元件可對足以管理/控制 處理功能或系統之各式應用SW元件提供諸項服務’即例 如包含有產製設施與各種晶圓加工機具組合的工廠,可透 第u頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 © 之 注 意 事 項 再
頁 訂 Λ 514771 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 過與如像是共板式晶圓加工機具控制器的處理設備控制 系統之互動來提供諸項服務。應愈元件係調適為符合於程 序與處理設備之特定要求,例如像是晶圓加工作業集 (Recipe)。換言之,利用相同的處理條件而在相同設備中 重覆進行相同產品型式之處理執作,並不需要修改該些應 用元件。然而,如果更動了材料、產品、設備或處理條件, 一般都會要求修改某一或多個應用元件的資料。例如,如 處理條件改變,則會要求修改某一或多個相對應的應用元 件’藉以將既經修改的指令提供給該設備。FW元件可提 供各種服務,讓使用者可修改某一或多個應用元件,以便 讓新式的DFS/F得以符合於新的處理條件,或是不同的材 料或機具。新式FALC,如FALC 200,可透過輸入資料而 調適為新的處理條件、材料或設備,只要該調適作業並不 會要求變動成在本質上為迥異不同之處理系統即可。譬如 說,某晶圓加工FALC的許多應用元件SW程式碼,即不 同於製藥產品批次製造程序之FALC相對應元件的SW程 式碼。新式DFS/F應用元件可透過諸協定及介面,而與例 如個別的晶圓加工機具等各項設備相互通訊,即如後文藉 關連於TIC (機具整合元件)而加進一步詳述之。 稱呼該些FW與應用FW元件為元件,此因彼等俱為 分別之SW個體,各個具備其資料庫、伺服器與標準GUI。 這些元件彼此透過一通訊標準之公共集合而相互運作,例 如像DCOM (MICROSOFT®,此為位於美國華盛頓州雷得 蒙市之微軟公司註冊商標,即「分散式共同物件模型」), 第15頁 (請先閱讀背面之注意事項再填寫本頁) .¾ 訂---------線—
•I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 514771 A7 B7 五、發明說明( API (應用程式設計介面)或是c〇rbA (共同物件請求中介 架構)。sw共同建置區塊一般包含有GUI (圖形使用者介 面)、伺服器與DB (資料庫)元素。通常,dfS/F與FALC200 元件及SW共同建置區塊,係經某一或多個資料處理用之 中央處理單元,或是某一或多台電腦加以處理。而該些適 於本發明具體實施例的中央處理單元或是多台電腦,對於 熟检該技藝人士而言實屬眾知。 經濟部智慧財產局員工消費合作社印製 參閱第6圖,其中FALC 200的六個生命週期活動, 包括有下列功能。該SW發展與整合生命週期活動2丨〇係 調適為定義諸工廠物件與伺服器之一共同結構。而該共同 結構可簡化DFS/F相容應用的構成過程。該安裝與監管生 命週期活動220可安裝MES應用。必須要利用DFS/F來 登錄該MES應用的容量,以便有機會將MES應用共同整 合到其他應用的容量。該生命週期活動220亦可監視與控 制組成該工咸Μ E S的軟體。此外,彼可規約μ e S容量接 取權’因彳于以提供共同的保全服務。該工戚模型化生命週 期活動230係修飾為可在多個製造相關的應用裡,協調構 成一致性的工廠模型。例如,引入某種新式產品一般會要 求更動多種應用,諸如將該產品增列至WIP (處理中工作) 應用與一規劃應用内。該生命週期活動230亦經調適為用 以定義眾多應用共同工作之時所採行的方式,例如定義某 WIP應用與設備應用可如何共同運作,以便確保使用到了 正確的設備來製造某特定產品。該工廠模型化生命週期活 動2 3 0的軟體係經修飾為用以規劃、控制與追縱該製程, 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐)" --- 514771
五、發明說明() 在利用工錢型化相關sw來將該工廠MES功能的模型建 置完成之後即可進行。 如第6®,該製程規劃生命週期活動24〇自多個應用 處收集狀態資訊,以便提供規劃應用元件。此外,該生命 週期活動240可發展及配送製造計劃/排程予該些可管理 工廠資源的應用元件。該製程控制、監控與追蹤生命週期 活動250係提供作為在製造計劃/排程的執行過程中,協調 製造應用元件之作用功能,以便按照定義於該工廠模型内 的各項步驟來生產出產品。而該製造結果生命週期活動分 析260則是經調適為用以合併來自於諸應用元件的資訊以 便分析之用。彼可將不同應用元件内的資料相互關連,以 利於資料分析並且定義、偵測及回應於某特定工廠事件。 該生命週期活動係經調適為可將真實生產作業與規劃生 產作業加以比較’並可透過輸入而向該發展與整合生命週 期活動210、工嚴模型化生命週期活動230及/或製程規劃 生命週期活動240等指明何時將會需要某項更新過的計 劃,如第6圖所示,自該生命週期活動260來而對該些生 命週期活動所生的回饋迴圈,即可構成如下數之FALC 200 的回饋週圈。自該生命週期活動260來而至該生命週期活 動210的回饋,即可構成一 SW發展週圈270,而自該生 命週期活動260來而至該生命週期活動280的回饋,即可 提供一模型週圈280。該執行週圈290則係由該生命週期 活動260來而至該生命週期活動240的回饋迴圈所構成。 在此雖係藉序列方式來描述並說明該新式FALC 200的六 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·% · 經濟部智慧財產局員工消費合作社印製 h/71 A7 B7 五 發明說明( 個生命週期活動,然應知悉相關於該些各個生命週期活動 的SW,通常確可與某一或多個其他的生命週期活動同時 進行。 前揭之本發明FALC 200僅止論及該新式DFS/F之某 一局部面向。而該DFS/F之其餘兩項面向特色則係定義如 下:系統層與N階式。該新式DFS/F之各項系統層次性質 可如表I所撰述。 請 先 閱 讀 背 δ 之 注 意 事 項 再 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 表I DFS/F系統諸埼 1 · 基本技術: 本項包含了各種DFS/F之基本技術建置區塊,例如發 訊、圖形使用者介面(GUI)建置、用於伺服器建置之 MTS (微軟交易管理員)範例應用,以及為保固性而將 物件對映至關連性資料庫。 2. 共同建置區塊·· 本項包含了各種共同項目,例如使用者定義之屬性、 版本宣示、歷史與類別法則。典型的共同建置區塊係 列示與描述於表II中。 3· 框架元件: FW元件可透過工廠模型建構程序,來管理工廠系統 之整體運作,並根據該模型來製造產品,以及之後評 鑑其結果而決定是否需要改進。FALC 2 00内即包括 這些元件。典型的FW元件係列示與描述於表ιπ中。 第18頁
頁 訂 線
A7 五、發明說明() 4 · 應用元件: 彼等元件包含如材料管理、設 xJj , νλΆ m &埋的工廠資源管理 力犯以及像疋VFEI (虛擬工麻μ y 與諸機JL $ 廠故備介面)層級而可 與邊機具互相通訊的機具整合。 & ^ J的應用元件係列 不興描述於表IV中。 本新式 DFS/F 士 <共同建置區塊係用 V\kl ^ ^ m - ^ 以構成或是修飾 /、底用7C件。代表性的建置區塊即如表Η所示。 2. 3. 經濟部智慧財產局員工消費合作社印製 5· 6· 表II 共同建罾區谕 飼服器建置建置區塊,其中包括介接於词服器 API以及工廠物件實例化(即建置某一物件實例) 之間。 用以對SQL/ODBC (結構式查詢語言/開放式DB 連接)對映,而來產生物件的執續性建置區塊。 DFS/F共同GUI控制建置區塊以提供共同控制來 進行GUI建置作業。 刊發與訂閱傳訊建置區塊以刊發訂閱訊息,而該 者係不同於同步DCOM訊息發送。 由FW元件所用之動態API探索建置區塊,藉以 尋得由DFS/F元件所提供的服務。 關連建置區塊以將物件連接跨越DFS/F元件。代 表性的使用者包括像是將模型化資料連接於回 覆「應施用於何處」問句,以及連接如品質資料 第19頁 本紙張尺度適用中國國家標準<CNS)A4規格(210 X 297公釐) 514771 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 的MES執行資訊至設備歷史處° 7. 歷史建置區塊以提供一共同服務項目來存放與 擷取諸工廠事件之歷史。 8. 通用式服務執行器建置區塊,以執行不同型態的 DFS/F服務,包括像是:(1)同步服務,(2)長期 執作服務,可經由一完結回話協定所實作,以及 (3) GUI基礎式服務,可透過他項服務與目標電 腦桌面之間的鏈路而加實作。 9. 類別建置區塊,可提供一共同服務來分類各種工 戚物件以便於查詢及分析。 10. 客戶定義屬性建置區塊,可用以延伸該DFS/F元 件基礎式物件模型。DFS/F通常能夠提供使用者 可延伸之屬性模型,並且可根據使用者的需求來 支援擴充模型。 11. 狀態模型建置區塊,包括一用以定義且接著可操 作狀態模型之服務項目,例如像是追蹤設備、材 料與ECN (工程變動通知)的狀態。可定義該狀態 模型建置區塊,藉以追蹤蔟集機具中各種内室的 未經規劃停機時間。 12. 名稱空格建置區塊,可定義DFS/F資料模型内的 管理區域。 1 3 ·排程/資料冊建置區塊,以支援排程作業並且增 加各個工廠物件的容量,以便讓資料冊得以顯示 未來事件與歷史的強化功能,俾指明某一特定排 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) % 訂· -線· 514771 A7 --------B7 _ 五、發明說明() 程應如何隨後排定。 14·銘板建置區塊,可提供諸項服務以定義可由各個 工嵌物件得以分享的基本定義。例如,本建置區 塊可用於定義所有的記憶體產品之共同特徵。 15.版別物件建置區塊,包括用以管理與追腺工廠模 型務建在實踐上所出現之變動的諸項服務,譬如 對於某特定產品定義在時間上的變化進行管理 與追蹤。 16·航覽建置區塊,以觀視如材料批製歷史之各式工 廠物件間的關係。 應用於新式FALC 200内的各項fw元件可定義共同 規則與服務項目,而彼等可由應用元件加以使用,參閱表 IV,FALC 200内諸項步驟。表Ιπ中提供數項適宜之FW 元件的範例。 表11[ 框架元f 1· sc (保全元件)可提供三種基本保全模式的保全 功能:(1)定義使用者角色,(2)指配使用者角色, 以及(3)藉由該角色來定義可接取到DFS/F物件 與方法的權利。 2. GCC (GUI主控台元件)係一種可支援於dfs/F諸 GUI之間航覽功能與顯示資料的容器。可另附加 客戶及/或第三者應用至該主控台功能棒。可藉 第21頁 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) n H· ϋ 如嫌口V fl n an n «ϋ ί n n I f,----------------------
514771 五、發明說明() 增加多項GUI與工廠物件來產生客戶環境。主控 台可包含一交易視控,如按全螢幕式、瀑列、碑 設與圖像等形式。GUI主控台航覽器可瀏覽並選 定DFS/F工廠物件,而且具有可根據伺服器提供 之搜尋關鍵標準而進行搜尋的能力。譬如,彼可 提供航覽功能以及諸ActiveX GUI間的資料分享 功能。 3· PLMC (效能與授權管理元件),用以追蹤並制約 諸元件之系統應用。 4· SMC (長旅管理元件)可提供支援「長期執作」且 應待之以早元方式的交易項,但因耗時過長,故 會仰賴標準DB鎖入技術。 5. CRC (文義解析元件)可藉由將前後文鏈結至結 果的方式來協助MES執行,藉由讓使用者彈性 地模型設定於選定資源時所應採行的方式,來確 保係將適當之指令交遞至所有資源。 6 · C M C (組惡管理元件)可提供在整個諸元件上,工 廠模型變動的管理功能。 7· cc (日暦元件)可提供作為排程與回報之用的日 曆與時差定義。 8· VWC (視像工作串流元件)定義與執行製造程 序’並足可執行諸項預定之商業程序。VWC可 按視像方式來將商業程序定義為自一組DFS/F 服務色盤組合裡’叫用一序列/網路之服務。例 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -I I I l· I I I « — — — — — — II 1^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 514771 經 濟 部 智 慧 財 產 局 員 工 消 费 合 作 社 印 製 五、發明說明() A7 B7
如,WIP管理元件使用VWC服務以定義產品♦ 如何生產,並利用該項以執行材料批製處理。 VWC程序定義功能内包含服務叫用與控制結構 間的資料交換,而透過預先定義之商業程序以便 決定/選取路徑。該VWC係調適為自發性地執行 >商業程序,即獨立於其他SW元件之外而自行運 作,並可對自動輸入與使用者輸入產生回應。 9· RCC (資源協調元件)係負貴讓作用中資源可供 該發送站台使用。利用BRC來比對資源是否相 符於共同派工/批次。並同BRC,彼可協調作用 中和被動式資源兩者相互交會。 10. EVMC (事件監視元件)可監視/訂閱各項DFs/F 所刊發之事件《當受監視的事件發生時可執行某 一 DFS/F服務(包括發動VWC派工)。藉由設置 工戚監視器’該EVMC可支援處理需特加注意之 製造過程。 11· BRC (資源元件單據)可跨越在用以發動某批次 程序時所需的多個DFS/F元件之上建立資源,即 如牽涉到多個資源之協調動作的批次程序。 12· DMC (資料管理員元件)可歸納自FW元件與應用 7C件而來的資料,以便於回報與分析。此係以 DW (資料倉儲)技術為基礎,並且可提供簡易式 DW星狀法則並且回報。DMC可接取至諸db以 便進行未經結構化之資料分析。 第23頁
閱 讀 背 面 之 注
I
訂 線
經濟部智慧財產局員工消費合作社印製 514771 A7 B7____ 五、發明說明() 應用元件可對製造設備提供各項MES指令,藉以管 理與控制特定的機具與程序^下列表IV中即列載數個適 當的應用元件範例。 表IV 應用元件 1 · QMC (品質管理元件)可提供品質分析與彈性化 資料收集功能。比可決定正確性製造策略,以便 確保符合於各項預定之商業規則。 2· Tic (機具整合元件)提供DFS/F與各式設備型態 間的雙向通訊功能。彼係經調適為透過如SECS (即「半導體設備與材料國際組織-設備通訊標 準」)、GEM (廣義設備模型)以及VFEI (虛擬工 戚設備介面)等等之機具協定而進行通訊。該些 SECS、GEM與VFEI均係機具協定,對於熟檢本 項技藝者實屬眾知。 3 . E M C (設備管理元件)可利用新型的階層式模型 來追縱誤集機具裡内室中的各個機具,以便解析 SEMI Ε10中不同的設備狀態。 4· RMC (作業集管理元件)提供作業集的定義、選項 及配送給如加工機具等設備之功能。 5 · D s c (派送與排程元件)用以排程與派送出包含 了處理與維護作業之工廠任務。 6· MHC (材料處理元件)用以介接於材料管理設 備。 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線 讕 A7 、發明說明( 8. WMC (程序中工作之管理元件)係提供作為支援 追縱晶圓、批製、批次與載器,支援預動式商業 決策,例如像是「如…則…」問題等。WIP提供 誤集機具可視性與控制,並藉此將MES提供給 位於簇集機具内的晶圓批製。 前承系統介面,彼乃可接取至現存工廠軟體之元 件〇 經濟部智慧財產局員工消費合作社印製 本發明DFS/F的第三面向為包含多種階式,而可表現 於FW το件、應用元件與共同建置區塊。例如,彼可為如 下 < 三階式面向。第一階可為使用來自於其他SW程式或 7C件足例如視像WF派工等服務項目的客戶端,而這些程 式或元件可為像是VB (Visual Basic)撰寫之各種GUI與顧 客程式。第二階則是包含應用或FW伺服器,可利用如 MTS/DCOM來與DCOM相互通訊。第三階包括一個DB引 擎,如使用ODBC (開放式資料庫連接性)介面的〇racU公 司產品。所有的DFS/F元件均採用本三階式,而根據該建 置區塊的結構與功能,共同建置區塊可應用於彼等階式的 某一或多個之内。 FW元件係關連至各種faLC 200生命週期活動。具 該等關連之代表性範例即如表V所示。表V JL連至各FALC 200生命週期活動之諸fw分件 FALC 200 FW 元件 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------- (請先閱讀背面之注意事項再珣寫本頁) 訂· •線· 514771 A7 B7 一丨丨丨丨,丨-" 丨丨丨丨·丨 ................................. ' — 五、發明說明() 生命週期活動
220 SC,GCC,PLMC,SMC
230 CRC,CMC, CC
250 VWC,RCC,EVMC,BRC
260 DMC 本發明FALC之FW元件,如FALC 200,係經調適為 彼此間進行互動,藉以共同作業。例如,某工廠模塑可藉 由在GCC (GUI主控台元件)310、CMC (組態管理元件) 3 12以及VMC (視像工作串流元件)3 14之間進行訊息交 換,而加改編為如第7圖所示。收集工廠模型的各種變化 後,於例如CMC 312的CMC之内構成一 ECN (工程變動 通知),即如第7圖所繪。該ECN開啟於3 1 6處(第7圖), 以收集工廠模型的各種變化。而其結果ECN資料3 1 8會 於編輯VWC工作串流320的過程中為GCC 310所使用。 透過包含於GCC310内的GUI 3 22來顯示並編輯該工作串 流。而經更動的VWC工作串流會於步騾324處被回傳到 VWC,並且VWC 314接著會將經更動的工作串流於步驟 326處增附至CMC ECN。 本新式DFS/F的任何應用元件均可藉由適當FW元件 實作所需之服務項目的方式來參與DFS/F,因而構成一即 插即用型態的SW框架,如同第8圖中所圖示,可利用 或是應用伺服器透過訊息交換而共同作業。即如第8圖中 所述,對使用 CMC 410、VWC 412、DMC 414、EMC 416、 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------ 裝--- (請先閱讀背面之注意事項再填 •線' Φ 經濟部智慧財產局員工消費合作社印製 514771 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() GCC418和SC419之FW元件伺服器的FW元件,會採行 共同的服務協定,而以透過EMC 420、WMC 422、DSC 424、QMC 42 6、TIC 428與閘道器元件429之應用元件伺 服器將提供服務給應用元件。這些服務會經由如DCOM通 訊之共同服務協定430而彼此通訊。本發明這項SW技術 可讓使用者透過資料輸入而非程式編碼的方式來修改處 理及設備MES指令,如此即可消除耗時且須專業技能的 程式設計更動。該應用元件會要求與經整合之設備諸區進 行有效的通訊,例如像是晶圓加工機具與材料處理設備, 以便對晶圓加工機具及/或其他設備的控制器執行各項 MES指令。典型的控制器可包括如共板式電腦之微處理器 的處理器、電腦操作之軟體、以及像是切換器的機械/電子 控制器、與使用到如電位計之可變電阻器的電子迴路。 本發明DFS/F包含一新式TIC應用元件(如表IV),可 藉由基本設備控制建置區塊,而用以提供各式DFS/F元件 與如晶圓加工機具等設備間的通訊功能,而該些區塊可於 VWC (如表III)工作串流中加以組裝來控制機器。該TIC 可提供服務,其中包含對某設備間相互發送與接收vfei 訊息或指令。該些訊息或指令序列可表示某一商業邏輯, 如控制某機具之指令。這些序列的型態可定義於VWC工 作串流中。TIC可提供建置區塊以發送指令或訊息給某機 具和自某機具處接收訊息或資訊’並且將所收到的訊息或 資訊通訊傳出到其他的DFS/F元件處。該TIC係屬DFS/F 與如晶圓加工機具之設備間的通訊鏈路局部。 第27頁 本紙張尺度適用中^國家標準(CNS)A4規格(210 X 297公ίΓ (請先閱讀背面之注意事項再填寫本頁) • * -一 · immmm flu 1^1 H ϋ e^i n I 雪 ·1 I aBBi n n n flu n n tte n an flu I Mmm9 i ial n n ( 514771 A7 B7 五、發明說明() 本發明之TIC包含一新式TIp (機具介面程式)和新式 TIC配接卡組合。可對各個機器或機具型態提供該ΤΙΡ已 轉譯VFEI指令或訊息至另一如SECS的機器介面,以及 如控制SW的控制部分。調適用以與新式DFS/F進行通訊 的設備會具有一 TIP實例,即如專屬於該項設備的s w程 序,並以中介方式執作於設備與該DFS/F之間。機器與 D F S / F的TIP實例之間的一適當通訊協定範例,可為d C Ο Μ 協定上的VFEI。此外亦慮及提供一種DCOM協定上的新 式VFEI+,其中該VFEI+可包括經強化之DCOM監管、模 型設立與RPC (遠俱程序呼叫)特質等功能。亦考慮到可於 多種電腦上配送TIP,例如該些電腦係應用於採行TIP SW 的電腦整合式晶圓加工機具的情況。 經濟部智慧財產局員工消費合作社印製
本發明之TIC配接卡係一位於DFS/F與諸TIP之間的 中介體,即如可讓其他DFS/F元件透過一般指令而接取到 機具容量,而該配接卡接著會將其調適為機具的特定TIP 實例。這可由下述一利用具有各式可收集測量結果之機具 的晶圓加工量測工具,來收集其測量結果的範例中加以說 明。這些機具技術均係調適為將收集程序嵌入至VWC WF 中,而之後該者讓如程序技師或是工程人員的使用者得以 送出相同的測量請求給TIC。然後,該TIC配接卡會根據 於執行時間中所選定之特定機具,而將該請求轉譯為機具 特定VFEI請求。本發明的該等技術足可定義像是單一 QMC (品質管理元件)資料收集規劃,而該項規劃稍後會被 不同的機具所採用,以收集相同型態的資料,因為該TIC 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 514771 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明() 配接卡可將資料參數名稱由QMC規劃中的泛稱,轉譯成 該特定機具所要求的特定名稱。 最好’ TIC配接卡亦得以執行DFS/F通訊方式與TIC 通訊方式之間的協定轉換可為較佳。這可為一項有用的功 能,因為許多DFS/F元件係利用MTS所建置,且係經設 计為具X易性與無狀態方式。譬如說,Dfs/F伺服器的請 求及處理服務兩者均為「同步性」,即預期各項服務將於 某一可預測而少於一秒的短暫完成時間内完畢,而服務係 被視為長時執作且會使用LRSP (長時執作協定)。如茲揭 定義,該名詞「長時執作服務」可包括具有無法預測,並 因此不適於通常連用於如同步服務等傳統式服務的DB鎖 入技術之完成時間的服務項目。所思之目的是彼可利用相 當短的存活MTS執行緒,而由按MTS為基礎之祠服器來 處理服務,並在此任何較長期的狀態資料俱可存樓於DB 内。而亦希望該些服務請求可按DCOM RPC模型方式為 基礎’在此可请求某項服務’其後即為一回覆應艾TIC 配階卡係屬DFS/F與諸TIP間之中介。 第9圖顯示一新式TIC範例,其中描述新式dfs/F 500’包含有本發明之TIC520。在本範例中採用了具有節 點A、B及C的分散式電腦^ DFS/F元件51〇透過TIC 52〇 而與晶圓加工機具538及548通訊。諸MES之工且指人 538及548係由元件510送出到新式TIC配階卡522。例 如,用以啟動材料處理的指令,可由像是vwc工作串流 的DFS/F元件510,透過TIC 520而發送到機具538處。 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -丨丨丨—丨丨訂·丨丨丨丨丨丨丨-▲ (請先閱讀背面之注意事項再填寫本頁) 514771 A7 B7 五、發明說明() 該TIC配階卡係透過該節點A處的MTS伺服器而所接取 到。給機具53 8的指令可自該TIC配階卡522,經由DCOM 532上的VFEI協定,而傳輸至節點B處的TIP實例534。 之後,該TIP實例534利用該機具53 8的SECS協定536, 來將這些指令通訊傳送到機具538處。同樣地,給機具548 的指令可自該TIC配階卡522,經由DCOM 542上的VFEI 協定’而傳輸至節點C處的TIP實例5 4 4。然後,該τ I p 實例544利用該機具548的SECS協定546,來將這些指 令通訊傳送到機具548處。並且,可提供某一可由TIC配 階卡522處所接取到的DB (未於本圖示出),來存放及擷 取如像是某些特定TIP網路位置的TIC資料,而對於熟檢 本項技藝之人士而言,該等方法與技術之利用方式係屬眾 知0 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) -線. 地10圖顯示一序列自VWC 6 1 0傳來,而送往TIC配 階卡6 1 2然後再送到TIP實例6 1 4處的訊息,該些訊息可 用以執行一定義於VWC WF内的商業程序。這個TIP實例 包括一作為介接於例如用以製造積體電路結構之晶圓加 工機具的處理設備(未以圖示)之機具介面程式。該VWC 商業程序會送出一指令給TIC配階卡6 1 2,藉以請求一項 事件設定6 1 6,而該項請求係為要求回報某設備事件,例 如像是回報一晶圓產製程序確已完成。接著,該事件設定 請求會被繞徑經過618而到TIP實例614處,在此會於620 處確認回給該VWC 610。接著,該VWC又向TIC配階卡 6 1 2請求一個有關於該事件設定請求的事件回報622。該 _ 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) "' 一 " A7 B7 五、發明說明() 事件回報請求022會在步驟624處加以確認。當該設備上 發生了所請求之事件後,有關於該事件的回報即於626處 由該TIP實例6 1 4傳送給TIC配接卡6 1 2,而然後彼者檢 查之以決定是否有待送之回報請求。如果尚有待送之回報 請求,如請求622,則該TIC配接卡612會將所請求之回 報628遞交給VWC WF,並且將該事件回報的遞交630向 該TIP實例614進行確認。可選擇讓該VWC商業WF執 行某一迴圈藉以請求另外的事件回報。一旦確已由該迴圈 收到所請求的回報,即結束該迴圈並且該VWC WF會送出 一總結指令給TIC,以便經由其TIP來通知該機具無須再 行發送所請求之事件回報。應注意該ΤΙΡ實例6 1 4或將需 要某種如SECS (未於圖示)的協定’以便與該設備進行通 訊。 如上述諸例所述,如TIC之應用元件可與FW元件彼 此通訊,並利用作為VWC (如表Π1)。此外,某特定FW 元件可與另一 FW元件通訊,並且利用該元件的服務項 目。例如,所有的F W元件可使用共同保全F W元件,以 規範接往該些FW元件所可提供之服務項目的接取權,即 如第8圖所示^ 茲由WF以VWC的即插即用功能作為範例,其中VWC 為一種用以將定義商業程序為一 WF的技術,而之後將該 WF視為某項派工而執行之。WF係一種定義為製造某項產 品之商業程序的圖形表現方式,例如半導體結構内通常會 包含眾多的處理步驟、設備步騾、移動步驟、決策步驟與 第31頁 本I張尺度適用中國國家標準(CNS)A4 i格(21〇 X 297公爱1 ~ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
一· ϋ ϋ I 1 n ϋ I I l I I I» n n ϋ n n n -I n I n ϋ ϋ n ϋ n ί I n I 514771 A7 B7 五、發明說明() 資料步驟。這些步驟各個均係定義為WF中的某項步驟。 WF可包括移動、決策 '品質活動與設備指令等。可利用 熟悉本項技藝之人士所廣知的圖形環境拖曳式技術來建 構各項WF。一旦定義完成後,即以派工方式執行該WF。 例如,可執行一 WF以產生多項產品。 本發明的DFS/F可藉由下述WIP管理應用元件(如表 IV),以啟動如晶圓加工執作之生產作業。某使用者,如 程序工程師,藉由於VWC内產生一足可定義出步驟序列 之WF,而該些步驟序列係在製作產品時所必要者,來定 義出某一特定產品究應如何製造。這種序列可包含像是晶 圓加工的工作集。然後,使用者藉由WIP管理應用元件服 務,或是可請求vwc來開始該批製WF的GUI,以便來 啟動該批製。總之,WIP使用VMC的服務以作為定義諸 處理步驟,然後再作為實際地製造/產製生產批製。 建置區塊可對新式DFS/F技術提供共同的功能,以便 於建置應用與FW元件。譬如說,一 DFS/F分類建置區塊 (如表II)可用於EMC應用元件内(如表IV),來對設備進行 分類。亦可將其用於WIP管理應用元件(如表IV)來對產 品進行分類。這種建置區塊包括了三個DFS/F階式。第一 階式為在GCC内使用DFS/F GUI,以觀視並修改或更新分 類資訊。第二階式包括提供於如EMC或WMC伺服器之元 件伺服器内的SW數碼/邏輯。該項特殊的SW可定義出如 何標示出分類結果。例如,該SW讓使用者來將某一特定 晶圓加工機具分類為蝕刻器。該分類建置區塊SW可經由 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 itr---------#41!------------------ 經濟部智慧財產局員工消費合作社印製 514771 A7 -----_B7_ 五、發明說明() 例如C + +繼承功能而應用於元件伺服器,即可將資源或屬 性由元件伺服器傳下到一特定產品、程序或機器的標示 項。該第三階式包含DB表格定義,可由元件伺服器邏輯 作為存放與擴取分類資訊之用。 新式DFS/F或其元件與建置區塊的數位編碼資料結 構或資訊,可被存放於一種可抽換式電子資料儲存媒體或 裝置,如電腦軟式磁碟、抽換式電腦硬式磁碟、磁帶與光 碟片,以便在不同的處理位置上可使用相同的技術。或另 方面’該等資料結構或資訊亦可存放於非抽換式電子資 料儲存媒體,包括像是位於遠離該機具某處上的媒體,該 種儲存裝置的應用方式,對於熟悉本項技藝之人士而言係 屬廣所眾知。可藉由使用深悉該項技藝之人士所廣知包括 像是實線連接、無線連接,以及採用單一或多個數據機或 應用到單一或多個電腦通稱為伺服器之資料通訊方法等 等的通訊技術,而將該等資料結構或資訊由某一遠端位 置,傳送到中央資料處理單元或者是到某電腦處。 當DFS/F或其元件或建置區塊既經安裝之後,彼等可 共同存放於專屬電腦或分散式電腦系統的資料儲存裝置 或是記憶體内,並與其處理系統及其設備彼此整合,且這 些資料儲存技術的應用方式,對於熟悉本項技藝之人士而 T係屬廣所眾知。 目的為可提供包含有新式DFS/F或其元件或建置區 塊的數位編碼資料結構或資訊,並儲存於像是可抽換式電 子資料儲存媒體或裝置之記憶體内的新式產品。另一目的 ___第 33 頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚_$------------ --I------I l· I--^--------- (請先閱讀背面之注意事項再填寫本頁) 514771 A7 ----------B7___ 五、發明說明() 為提供一種電腦整合裝置,具有用以存放電子或數位資料 的記憶體或資料儲存裝置、一中央處理單元或一電腦以及 處理設備,而其中DFS/F或其元件或建置區塊均係存放於 該資料儲存裝置裡。 本發明俱按上文揭諸之較佳具體實施例而加說明。對 於熟捻本項技藝之人士而言,應可明暸確可藉各種方式建 置本發明諸元,並按諸法對其元件配置另行修飾。然本發 明多項較佳具體實施例既已如前文詳加描述,並佐以附圖 繪示,故明顯地確有可能採取諸多進一步的修改作業,而 仍不會悖離如後揭申請專利範圍所載列之本發明領域。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 貰 34 第 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
Claims (1)
- i 514771六、申請專利範圍 1 · 一種用以定義經電腦實作的工廠自動化生命週期之方 法,該方法包含: 昀定義與安裝監管生命週期活動框架元件; b)定義工廠模型化生命週期活動框架元件;以及 勾定義製造控制、監視與追蹤生命週期活動框架元 件。 2·如申請專利範圍第1項所述之方法,其中上述之定義監 管生命週期活動框架元件,包括了定義單一或多個由保 全元件、GUI主控台元件、效能與授權管理元件、以及 航險管理元件所組成之群組中所遂定的框架元件。 3 ·如申請專利範圍第1項所述之方法’其中上述之定義工 廠模型化生命週期活動框架元件’包括了定義單一或多 個由文義解析元件、組態管理元件以及日曆元件所組成 之群組中所選定的框架元件。 4 ·如申請專利範圍第1項所述之方法’其中上述之定義製 造控制、監視與追蹤生命週期活動框架元件,包括了定 義單一或多個由視像工作串流元件、資源協調元件、事 件監視元件以及資源表單元件所組成之群組中所選定 的框架元件。 5 ·如申請專利範圍第1項所述之方法,其中更包含用以定 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再 --- 本頁) __ 經濟部智慧財產局員工消費合作社印製 514771 A8 B8 C8 D8 六、申請專利範圍 義單一或多個製造結果生命週期活動框架元件的分析 作業。 ^.如申請專利範圍第5項所述之方法,其中定義單一或多 個製造結果生命週期活動框架元件的分析作業,包含定 義資料管理員元件。 '如申請專利範圍第5項所述之方法,其中另外定義一種 用以定義單一或多個製造結果生命週期活動框架元件 之間的互動,以及由工廠模型化生命週期活動框架元件 所組成之群組中選出的諸元件。 8. 如申請專利範圍第1項所述之方法,其中更定義一 SW 發展與整合生命週期活動。 9. 如申請專利範圍第1項所述之方法,其中更定義一製造 規劃生命週期活動。 10. 如申請專利範圍第1項所述之方法,其中定義一工廠自 動化生命週期,包含定義一用以處理積體電路結構之工 廠自動化生命週期。 1 1.如申請專利範圍第1項所述之方法,其中定義一工廠自 動化生命週期,另外包含了定義諸框架元件,使得該些 第361 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 請 先 閱 讀 背 Sj 之 注 意 事 項 再 頁 經濟部智慧財產局員工消費合作社印製 514771 AS B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再¾寫本頁) 框架元件可調適為與機具整合元件相互通訊,其中該些 框架元件係由安裝與監管生命週期活動框架元件、工廠 模型化生命週期活動框架元件,以及製造控制、監視與 追蹤生命週期活動框架元件等所組成之群組中所選 12.如申請專利範圍第11項所述之方法,其中定義製造控 制、監視與追蹤生命週期活動框架元件,包含定義一視 像工作串流元件。 1 3. —種用以管理含有單一或多個電腦處理系統的方法,該 方法包含: a) 藉由單一或多個電腦來執作某一含有單一或多個 框架軟體元件的工廠自動化生命週期; b) 執作某一含有單一或多個應用軟體元件以提供單 一或多個電腦實作之指令來管理該系統, 其中該單一或多個框架元件係調適為用以管理該些 軟體元件; 經濟部智慧財產局員工消費合作社印製 c) 決定該單一或多個指令是否需要加以修改; d) 如果不需要修改這些指令的話,則將該單一或多 個指令通訊發送予該系統; e) 如果這些指令需要修改的話,則藉由該單一或多 個框架元件來修改這些指令,藉以構成既經修改之指 令;以及 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 六、申請專利範圍 f)將既經修改之指令通 遝矾發运予該系統。 14·如申請專利範圍第13項所逑之、、 執行該系統中單一或多個:去’其中更包括藉由 7來g理該處理系統。 15·如申請專利範圍第13项戶斤述 有單一或多個框架元件, / ,其中執作某一含 全元件、GUI主控台元件 作早-或多個由所保 管理元件、文義解析元件 授權管理元件、航險 汗又義解析7C件、組態管 視像工作串流元件、資源協調元件、事件監曰見曆70件二 源表單元件以及資料管理 M 7C件、資 出的元件。 <牛寺所組成之群組裡而選 含 16·如申請專利範圍第π項所 、心万/去,其中勃作龙一 有單一或多個應用元件,包括 皙n 匕栝了執作早-或多個由所 g f理兀件、機具整合元件、 τ 叹備管理元件、工作集 理元件、配送與排程元件、材料處理元件、程序内工 疋件以及前纟系統彳面元件等組成之群組裡而選出 元件。 17.如申請專利範圍第13項所述之方法,其中該通訊發送 包含藉由機具整合元件而進行通訊發送。 18·如申請專利範圍第17項所述之方法,其中該通訊發送 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 514771 A8 B8 C8 D8 六、申請專利範圍 包含藉由下列方式而進行通訊發送: a) —機具整合程式;以及 b) —機具整合元件配接卡。 1 9.如申請專利範圍第1 7項所述之方法,其中該單一或多 個電腦實作之指令係屬視像工作串流元件指令。 20.如申請專利範圍第13項所述之方法,其中另包含藉由 單一或多個從一組由伺服器建置建置區塊、執續性建置 區塊、共同GUI控制建置區塊、發行與訂閱傳訊建置區 塊、動態API探索建置區塊、關連建置區塊、歷史建置 區塊、通用式服務執行器建置區塊、類別建置區塊、客 戶定義屬性建置區塊、狀態模型建置區塊、名稱空格建 置區塊、排程/資料冊建置區塊、銘板建置區塊、版別 物件建置區塊、航覽建置區塊等所組成之群組而選出的 軟體建置區塊,來構成單一或多個框架元件。 2 1.如申請專利範圍第1 3項所述之方法,其中執作單一或 多個框架元件另包含將該單一或多個框架元件的資料 結構通訊發送給單一或多個由諸框架元件與應用元件 所組成之群組中而選出之元件的資料結構。 22.如申請專利範圍第13項所述之方法,其中修改該些指 令包含輸入資料。 第39頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再Wk本頁) --線 ·:· 六 經濟部智慧財產局員工消費合作社印剔衣 514771 A8 B8 C8 D8 申請專利範圍 23. 如申請專利範圍第1 3項所述之方法,其中管理一處理 系統,包含管理一作為處理積體電路結構之系統。 24. 如申請專利範圍第23項所述之方法,其中該系統内包 含單一或多個晶圓產製機具。 2 5. —種用以將軟體框架鏈結至某裝置的方法,該項鏈結方 法係藉由機具整合元件進行,而其中包括有下列項目: a) —機具整合程式;以及 b) —機具整合元件配接卡。 26.如申請專利範圍第25項所述之方法,其中該項鏈結方 法係包括交換訊息於下列之間: a) 單一或多個框架元件; b) 該機具整合元件配接卡;以及 c) 該機具整合程式。 2 7.如申請專利範圍第26項所述之方法,其中該單一或多 個框架元件,包含一視像工作串流元件。 2 8. —種用以處理某產品的方法,其中該方法包括: a) 決定處理該產品的規格;以及 b) 藉包含下列項目的分散式工廠系統框架來管理該 處理程序:(1)具有單一或多個框架元件的工廠自動化生 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項頁 514771 A8 B8 C8 D8 六、申請專利範圍 命週期,以及(2)單一或多個應用元件,而其中該些框架 元件係調適為管理該些應用元件。 2 9.如申請專利範圍第28項所述之方法,其中該項管理更 包括: a) 決定該分散式工廠系統框架是否需要加以修改, 以便該些符合規格;以及 b) 如果需要修改該分散式工廠系統框架,則修改該 單一或多個應用元件。 3 0.如申請專利範園第29項所述之方法,其中該項修改包 含輸入資料。 3 1.如申請專利範圍第28項所述之方法,其中該項管理更 包括藉由單一或多個軟體建置區塊而來構成單一或多 個框架元件。 32.如申請專利範圍第28項所述之方法,其中該項管理更 包括: a) 藉由該單一或多個應用元件,來構成用於管理的 單一或多個電腦實作之指令; b) 將單一或多個指令通訊發送予設備以處理該產 品,以及 c) 在該設備上執行單一或多個指令。 第41頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)~一 (請先閱讀背面之注意事項再_寫本頁) i· I1裝--------訂--------線. 經濟部智慧財產局員工消費合作社印制衣 514771 A8 B8 C8 D8 六、申請專利範圍 3 3 ·如申請專利範圍第32項所述之方法,而該項通訊發送 包括了藉由機具整合元件而進行的通訊發送,其中該機 具整合元件包含:(1)機具整合元件配接卡,以及(2)機 具介面程式。 34·如申請專利範圍第28項所述之方法,其中該項處理產 品,包括處理一積體電路結構。 3 5.種用以啟動晶圓加工執作的方法,該方法包括·· a) 夬疋一用以處理晶圓加工執作的處理步驟序列; b) 以一視像工作串流元件來構成可定義出該序列的 工作串流,而彼係包含於一具有下列項目之分散式工廠 系統框架内:⑴框架元件,以及(2)應用元件;並且 c) 藉由一服務項目來請求該視像工作串流元件來啟 動該執作’而該服務係屬程序内工作管理元件或是gui 主控台元件之内的GUI。 (請先閱讀背面之注意事項再與寫本頁) Λ 太 n I I n n n n 一 δ- · n n n n n I I I _ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 36·—種用以處理產品的裝置,該裝置包含: a) 產品處理設備; b) 至 >一個用於電子式資料處理的中央處理單元; c) 一用以將該中央處理單元運作耦接至該產品處理 設備的鏈路; d) 用以存放數位編碼資料結構的記憶體,其中該514771 A8B8C8D8 六、申請專利範圍 記憶體係運作耦接至該至少一個的中央處理單元,以及 e) —存放於記憶體内的數位編碼資料結構’其中該 資料結構内包括一含有下列項目的工廠自動化生命週 期:(1)監管生命週期活動框架元件,(2)工嚴模型化生 命週期活動框架元件,以及(3)製造控制與追蹤生命週期 活動框架元件。 37·如申請專利範圍第36項所述之裝置,其中上述之監管 生命週期活動框架元件,包括了單一或多個由保全元 件、GUI主控台元件、效能與授權管理元件、以及航險 管理元件所組成之群組中所選定的框架元件。 3 8·如申請專利範圍第36項所述之裝置,其中上述之工廠 模型化生命週期活動框架元件’包括了單一或多個由文 義解析元件、組態管理元件以及日暦元件所組成之群組 中所選定的框架元件》 39.如申請專利範圍第36項所述之裝置,其中上述之製造 控制、監視與追蹤生命週期活動框架元件,包括了單一 或多個由視像工作_流元件、資源協調元件、事件監視 元件以及資源表單元件所組成之群組中所選定的框架 元件。 40·如申請專利範圍第36項所述之裝置,其中更包含單一 第43頁 ;紙張又度適用中國國冢標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再 r --- 本頁: -線· 經濟部智慧財產局員工消費合作社印製 477 0280099 ABCD 六、申請專利範圍 或多個製造結果生命週期活動框架元件的分析作業 4 1 ·如申請專利範圍第40項所述之裝置,其中該 或多 個製造結果生命週期活動框架元件的分析作業,包本 資料管理員元件。 了 42.如申請專利範圍第36項所述之裝置,其中更包括一 含有應用元件的數位編碼第二資料結構,其中該第一 料結構係调適為管理該第二資料結構。 項 資 γ請先閲讀背面之注意事項再填寫本頁) 1 % 填寫本 43.如申請專利範圍第42項所述之裝置,其中更包括 含有軟體建置區士鬼的數位編碼第三資料結冑,用以構成 單一或多個框架元件。 項 經濟部智慧財產局員工消費合作社印製 44·如申請專利範圍第36項所述之裝置,其中該第一資 結構包含: a) 包含有 GUI主控台开杜〜 — 疋件的數位編碼第四資料 構;以及 b) 包含有組態管理元件的姑 1千的數位編碼第五資料結構 料 結 45. 如申請專利範圍第44項 # ^ ^ ^ ^ 、心策置,其中該第四與第 五;貝料結構係經調適可為互動。 46. 如申請專利範圍第36項所 ^ ^ ^ ^ ^ 、〈装置,孩項鏈路包拾機 __ 第44頁 本紙張尺度娜τ圈_標準(CNS)A4規格⑽χ 訂-------線 · A8B8C8D8 六、申請專利範圍 具整合元件,而其中本有 〇哥·( 1)機具整合元件配接卡,以 及(2)機具介面程式。 47·如申請專利範圍第%項所+ 尸迷艾裝置,包含一用以處理 積體電路結構之裝置。48.一種用以處理產品的裝置,該裝置包含: a) 產品處理設備; b) 至少一個用於電子式 电卞式貝枓處理的中央處理單元; C)-用以將該中央處理單元運作轉接至該產品處理 設備的鏈路; d) -用以存放數位編碼資料結構的記憶體,… 記憶體係運作樓接至該至少-個的中央處理單元:以: e) 一分散式工廠系統框架來管理該處理程序,而該 分散式工廠系統框架包含 " ^. ^ τ (1)含有包括數位編碼框架 …工厫自動化生命週期的數位編碼第-資料結 構,(2)含有經調適為可通訊傳送 _備之應用元件的數位編碼第二資料= 弟-#科結構係經調適為可管理該些數位編碼第二資 以及(3) 一用以將數位編碼指令傳送該處理設備 49.如申請專利範圍第48項所述之 ^ 升甲包括一可處 理積體電路結構之裝置。 此 j__第45頁 ΐ紙張尺度適財H順轉(CNS)A4祕(21G χ 29τ— /1 /1 經濟部智慧財產局員工消費合作社印1 /、、申明專利範圍 50·—種可用以營 f理孩處理程序的分散式 孩分散式工戚系統框架中包含: 敬系蘇框架,而 構:)含有數位編碼框架元件之數位編碼第-資料結 b)含有經調適為可通訊傳送數 系統之應用元件的數位編碼第二資…該處理 一資料纟士槿伤r A 。構’而其中該第 、·’。構係.,里調適為可管理該些數 結構,以及 π罘一貝枓 C) 一用以將數位編碼指令傳送該處理系統的鏈路。 51·如申請專利範圍第5〇項所述之分散式工廠系統框架, 其中該框架元件包括單一或多個由保全元件、GUI主控 台元件、效能與授權管理元件、航險管理元件、文義解 析元件、組態管理元件、日磨元件、視像工作串流元件、 資源協調元件、事件監視元件、資源表單元件所以及資 料管理員元件等組成之群組中所選定的框架元件。 5 2 .如申請專利範圍第5 0項所述之分散式工廠系統框架, 其中該應用元件包含單一或多個由所品質管理元件、機 具整合元件、設備管理元件、工作集管理元件、配送輿 排程元件、材料處理元件、程序内工作元件以及前承系 統介面元件等組成之群組裡而出的元件。 53·如申請專利範圍第5〇項所述之分散式工獻系統框架 第46貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)"1 n i n n^-eJ« n -ϋ n n 1· n 1 - (請先閱讀背面之注意事項再本頁) 514771 A8 B8 C8樣鏈路裡包括-含有機具整合元件之第四資料 構。 貝枓結 54.如申請專利範圍第53 i 士令你 、又刀散式工厫系統框架, 其中孩第四資料結構中包含: a) 一機具整合程式第五資料結構;以及 b) —機具整合元件配接卡第六資料結構。 如申請專利範圍第5G項所述之分散式工^統框架, 其中更包含單一或多個由伺服器建置建置區塊、執績性 建置區塊、共同GUI控制建置區塊、發行與訂閱傳訊建 置區塊 '動態API探索建置區塊、關連建置區塊、歷史 建置區塊、通用式服務執行器建置區塊、類別建置區 塊、客戶足義屬性建置區塊、狀態模型建置區塊、名稱 2格建置區塊、排程/資料冊建置區塊、銘板建置區塊、 版別物件建置區塊、航覽建置區塊等所組成之群組中而 選出的軟體建置區塊。 f請先閲讀背面之注意事項再本頁) 訂: 經濟部智慧財產局員工消費合作社印製 56.—種含有數位編碼第一資料結構的資料儲存裝置,其中 包括具有下列項目的工廠自動化生命週期: a) 監管生命週期活動框架元件; b) 工廠模型化生命週期活動框架元件;以及 c) 製造控制、監視與追蹤生命週期活動框架元件。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 7 47 1Χ 5 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 申請專利範圍 57. 如申請專利範圍第30項所述之裝置,其中上述之監管 生命週期活動框架元件,包括了單一或多個由保全元 件、GUI主控台元件、效能與授權管理元件、以及航險 管理元件所組成之群組中所選疋的框架元件。 58. 如申請專利範圍第56項所述之裝置’其中上述之工廠 模型化生命週期活動框架元件’包括了單一或多個由文 義解析元件、組態管理元件以及日曆元件所組成之群組 中所選定的框架元件。 59. 如申請專利範圍第56項所述之裝置,其中上述之製造 控制、監視與追蹤生命週期活動柩架元件,包括了單一 或多個由視像工作_流元件、資源協調元件、事件監視 元件以及資源表單元件所組成之群組中所選定的框架 元件。 60·如申請專利範圍第56項所述之裝置,其中更包含單— 或多個製造結果生命週期活動框架元件的分析作業 61·如申請專利範圍第60項所述之裝置,其中該單_ 個製結果生命週期活動框架元件的分析作業,勺 料管理員元件。 "資 62·如申請專利範圍第56項所述之裝置,其中包本多 第48頁 或多 個緩 本紙張尺度適用中國國家標準(CNS)A4規格χ 297公釐) 514771 A8B8C8D8 六、申請專利範圍 調適用以與GUI主控台框架元件互動的框架元件。 63. 如申請專利範圍第56項所述之裝置,其中更包括一項 含有應用元件的數位編碼第二資料結構,其中該第一資 料結構係調適為管理該第二資料結構》 64. 如申請專利範圍第63項所述之裝置,其中更包括一項 含有軟體建置區塊的數位編碼第三資料結構,用以構成 單一或多個框架元件。 請 先 閱 讀 背 面 之 注 意 事 項 第 該 。 中構 其 结 置路 裝電 之體 述積 所理 項處 4 可 6 為 第適 圍調 範係 利構 專结 請料 中資 如三 66. —種資料儲存裝置,其中包括: a) —包含具有數位編碼框架元件的工廠自動化生命 週期之數位編碼第一資料結構;以及 b) —包含應用元件之數位編碼第二資料結構,而其 中該第一資料結構係調適為管理該第二資料結構。 經濟部智慧財產局員工消費合作社印製 67. 如申請專利範圍第66項所述之裝置,其中該框架元件 包括單一或多個由保全元件、GUI主控台元件、效能與 授權管理元件、航險管理元件、文義解析元件、組態管 理元件、日曆元件、視像工作串流元件、資源協調元件、 事件監視元件、資源表單元件所以及資料管理員元件等 第49頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 514771 A8B8C8D8 六、申請專利範圍 組成之群組中所選定的元件。 68. 如申請專利範圍第66項所述之裝置,其中該應用元件 包含單一或多個由所品質管理元件、機具整合元件、設 備管理元件、工作集管理元件、配送與排程元件、材料 處理元件、程序内工作元件以及前承系統介面元件等組 成之群組裡而選出的元件。 69. 如申請專利範圍第66項所述之裝置,其中更包含單一 或多個由伺服器建構建置區塊、執續性建置區塊、共同 GUI控制建置區塊、發行與訂閱傳訊建置區塊、動態 API探索建置區塊、關連建置區塊、歷史建置區塊、通 用式服務執行器建置區塊、類別建置區塊、客戶定義屬 性建置區塊、狀態模型建置區塊、名稱空格建置區塊、 排程/資料冊建置區塊、銘板建置區塊、版別物件建置 區塊、航覽建置區塊等所組成之群組中而選出的軟體建 置區塊。 (請先閱讀背面之注意事項_寫本頁) ,裝 訂---------線 斕 經濟部智慧財產局員工消費合作社印製 第50頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/363,966 US7069101B1 (en) | 1999-07-29 | 1999-07-29 | Computer integrated manufacturing techniques |
Publications (1)
Publication Number | Publication Date |
---|---|
TW514771B true TW514771B (en) | 2002-12-21 |
Family
ID=23432479
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW089114674A TW514771B (en) | 1999-07-29 | 2000-07-21 | Computer integrated manufacturing techniques |
Country Status (7)
Country | Link |
---|---|
US (2) | US7069101B1 (zh) |
EP (1) | EP1072967B1 (zh) |
JP (1) | JP2001143981A (zh) |
KR (1) | KR100779436B1 (zh) |
DE (1) | DE60030369T2 (zh) |
SG (1) | SG85207A1 (zh) |
TW (1) | TW514771B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10299560B1 (en) | 2017-11-10 | 2019-05-28 | Follicle, LLC | Battery operated hair dryer |
Families Citing this family (134)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
US7873428B2 (en) * | 2005-04-15 | 2011-01-18 | PEER Intellectual Property, Inc. | Automated job management |
US9785140B2 (en) * | 2000-02-01 | 2017-10-10 | Peer Intellectual Property Inc. | Multi-protocol multi-client equipment server |
US7403984B2 (en) * | 2000-02-01 | 2008-07-22 | Asyst Technologies, Inc. | Automated tool management in a multi-protocol environment |
US8028049B1 (en) * | 2000-02-01 | 2011-09-27 | Peer Intellectual Property Inc. | Apparatus and method for web-based tool management |
CA2353238C (en) | 2000-07-21 | 2013-10-08 | Ricoh Company Ltd. | Component management system and method |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6782337B2 (en) | 2000-09-20 | 2004-08-24 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension an a presence of defects on a specimen |
US6917419B2 (en) | 2000-09-20 | 2005-07-12 | Kla-Tencor Technologies Corp. | Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen |
US6673637B2 (en) | 2000-09-20 | 2004-01-06 | Kla-Tencor Technologies | Methods and systems for determining a presence of macro defects and overlay of a specimen |
US6812045B1 (en) | 2000-09-20 | 2004-11-02 | Kla-Tencor, Inc. | Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation |
US6694284B1 (en) | 2000-09-20 | 2004-02-17 | Kla-Tencor Technologies Corp. | Methods and systems for determining at least four properties of a specimen |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
EP1328890A2 (de) * | 2000-10-20 | 2003-07-23 | Siemens Aktiengesellschaft | System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US7801777B2 (en) * | 2001-01-23 | 2010-09-21 | Oracle International Corporation | System and method for managing the development and manufacturing of a beverage |
US20020165806A1 (en) * | 2001-01-23 | 2002-11-07 | Kataria Anjali Rani | System and method for managing a regulated industry |
US7487182B2 (en) * | 2001-01-23 | 2009-02-03 | Conformia Software, Inc. | Systems and methods for managing the development and manufacturing of a drug |
US6801817B1 (en) * | 2001-02-20 | 2004-10-05 | Advanced Micro Devices, Inc. | Method and apparatus for integrating multiple process controllers |
US6782343B2 (en) * | 2001-02-28 | 2004-08-24 | Asm International N.V. | Resource consumption calculator |
US20020152046A1 (en) * | 2001-04-13 | 2002-10-17 | Velichko Sergey A. | Concurrent control of semiconductor parametric testing |
US6999164B2 (en) * | 2001-04-26 | 2006-02-14 | Tokyo Electron Limited | Measurement system cluster |
WO2002091248A1 (en) * | 2001-05-04 | 2002-11-14 | Therma-Wave, Inc. | Systems and methods for metrology recipe and model generation |
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7031783B2 (en) * | 2001-06-29 | 2006-04-18 | Agilent Technologies, Inc. | Virtualized generic equipment model data and control router for factory automation |
US7756963B2 (en) * | 2001-07-05 | 2010-07-13 | PEER Intellectual Property, Inc. | Automated tool management in a multi-protocol environment |
US6970758B1 (en) * | 2001-07-12 | 2005-11-29 | Advanced Micro Devices, Inc. | System and software for data collection and process control in semiconductor manufacturing and method thereof |
DE10161064A1 (de) * | 2001-12-12 | 2003-07-03 | Siemens Ag | System und Verfahren zur Kommunikation zwischen Softwareapplikationen, insbesondere MES-Applikationen |
DE10161111A1 (de) * | 2001-12-12 | 2003-07-03 | Siemens Ag | System und Verfahren zur Projektierung von Transformationen von Objektbäumen |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
JP2003308366A (ja) * | 2002-04-15 | 2003-10-31 | Mitsubishi Electric Corp | 製造管理方法 |
WO2003089995A2 (en) * | 2002-04-15 | 2003-10-30 | Invensys Systems, Inc. | Methods and apparatus for process, factory-floor, environmental, computer aided manufacturing-based or other control system with real-time data distribution |
US7006880B2 (en) * | 2002-04-19 | 2006-02-28 | Phred, Llc | Method for controlling a device with a control system |
DE60305684T2 (de) * | 2002-04-23 | 2007-05-03 | Tokyo Electron Ltd. | Verfahren und vorrichtung zur vereinfachten systemkonfiguration |
US7162386B2 (en) | 2002-04-25 | 2007-01-09 | Micron Technology, Inc. | Dynamically adaptable semiconductor parametric testing |
US6907305B2 (en) * | 2002-04-30 | 2005-06-14 | Advanced Micro Devices, Inc. | Agent reactive scheduling in an automated manufacturing environment |
US6701199B1 (en) * | 2002-08-22 | 2004-03-02 | Chartered Semiconductor Manufactoring Ltd. | Methodology to obtain integrated process results prior to process tools being installed |
US7234132B2 (en) | 2002-08-29 | 2007-06-19 | International Business Machines Corporation | Application integration model for dynamic software component assembly within an application at runtime |
US6957113B1 (en) * | 2002-09-06 | 2005-10-18 | National Semiconductor Corporation | Systems for allocating multi-function resources in a process system and methods of operating the same |
US20040059616A1 (en) * | 2002-09-20 | 2004-03-25 | Chih-Kuang Chang | System and method for managing measurement work flow |
AU2003290932A1 (en) | 2002-11-15 | 2004-06-15 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US7010451B2 (en) * | 2003-04-17 | 2006-03-07 | Micron Technology, Inc. | Dynamic creation and modification of wafer test maps during wafer testing |
JP4732726B2 (ja) * | 2003-09-09 | 2011-07-27 | セイコーインスツル株式会社 | 半導体装置の製造方法 |
US20050086120A1 (en) * | 2003-10-16 | 2005-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of managing subcontracting for backend outsourcing business |
GB0406663D0 (en) * | 2004-03-24 | 2004-04-28 | Cavendish Kinetics Ltd | Information management and tracking system (IMTS) |
US7127314B2 (en) | 2004-03-25 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fabrication monitoring system |
EP1735984B1 (en) * | 2004-04-16 | 2014-01-15 | TELEFONAKTIEBOLAGET LM ERICSSON (publ) | Method and apparatus for handling user's attributes sharing between service providers |
US7799273B2 (en) | 2004-05-06 | 2010-09-21 | Smp Logic Systems Llc | Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes |
US7444197B2 (en) * | 2004-05-06 | 2008-10-28 | Smp Logic Systems Llc | Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes |
US7603262B2 (en) * | 2004-05-20 | 2009-10-13 | Sap Ag | Time dependent process parameters and engineering change number conflict report |
US7571078B2 (en) * | 2004-05-20 | 2009-08-04 | Sap Ag | Time dependent process parameters for integrated process and product engineering |
GB0414336D0 (en) * | 2004-06-28 | 2004-07-28 | Mood Internat Ltd | Method and apparatus for managing and synchronising variant business structures |
US8321545B2 (en) * | 2004-07-15 | 2012-11-27 | Symbol Technologies, Inc. | Service oriented platform architecture for a wireless network |
US8108470B2 (en) * | 2004-07-22 | 2012-01-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Message management system and method |
US7069098B2 (en) | 2004-08-02 | 2006-06-27 | Advanced Micro Devices, Inc. | Method and system for prioritizing material to clear exception conditions |
US7206652B2 (en) * | 2004-08-20 | 2007-04-17 | International Business Machines Corporation | Method and system for intelligent automated reticle management |
US7359768B2 (en) | 2004-10-29 | 2008-04-15 | International Business Machines Corporation | Route input system |
US7305278B2 (en) * | 2004-11-15 | 2007-12-04 | International Business Machines Corporation | Enterprise factory control method and system |
US20060107898A1 (en) * | 2004-11-19 | 2006-05-25 | Blomberg Tom E | Method and apparatus for measuring consumption of reactants |
AT501642A1 (de) * | 2005-03-25 | 2006-10-15 | Ams Engineering Sticht Ges M B | Verfahren zur steuerung einer fertigungsanlage |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
US20060271223A1 (en) * | 2005-05-27 | 2006-11-30 | International Business Machines Corporation | Method and system for integrating equipment integration software, equipment events, mes and rules databases |
US20070033180A1 (en) * | 2005-08-05 | 2007-02-08 | Mitsubishi Electric Corporation | Apparatus and method for searching for software units for use in the manufacturing industry |
US7908164B1 (en) * | 2005-08-09 | 2011-03-15 | SignalDemand, Inc. | Spot market profit optimization system |
JP4957226B2 (ja) * | 2005-12-15 | 2012-06-20 | 富士通セミコンダクター株式会社 | 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ |
DE102006001257A1 (de) * | 2005-12-30 | 2007-07-12 | Advanced Micro Devices, Inc., Sunnyvale | Automatisiertes Zustandabschätzungssystem für Cluster-Anlagen und Verfahren zum Betreiben des Systems |
DE102006004408B4 (de) * | 2006-01-31 | 2010-03-18 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung |
JP4797066B2 (ja) * | 2006-06-19 | 2011-10-19 | 株式会社日立国際電気 | 基板処理システム、検証装置および検証装置の動作検証方法 |
US7801689B2 (en) * | 2006-07-17 | 2010-09-21 | Oracle International Corporation | Systems and methods for tracking the composition of distilled spirits in production and storage |
JP2008078630A (ja) * | 2006-08-24 | 2008-04-03 | Hitachi Kokusai Electric Inc | 基板処理システム |
JP5045042B2 (ja) * | 2006-09-19 | 2012-10-10 | 富士通株式会社 | 業務フロー編集プログラム、業務フロー編集装置および業務フロー編集方法 |
US8078296B2 (en) * | 2006-09-29 | 2011-12-13 | Rockwell Automation Technologies, Inc. | Dynamic procedure selection |
US8776092B2 (en) | 2006-09-29 | 2014-07-08 | Rockwell Automation Technologies, Inc. | Multiple interface support |
US8041435B2 (en) * | 2008-09-30 | 2011-10-18 | Rockwell Automation Technologies, Inc. | Modular object dynamic hosting |
US8818757B2 (en) * | 2008-09-30 | 2014-08-26 | Rockwell Automation Technologies, Inc. | Modular object and host matching |
US9261877B2 (en) * | 2006-09-29 | 2016-02-16 | Rockwell Automation Technologies, Inc. | Multiple machine interface |
US7912560B2 (en) * | 2006-09-29 | 2011-03-22 | Rockwell Automation Technologies, Inc. | Module and controller operation for industrial control systems |
US8732658B2 (en) * | 2006-09-29 | 2014-05-20 | Rockwell Automation Technologies, Inc. | Layered interface in an industrial environment |
US20080082577A1 (en) * | 2006-09-29 | 2008-04-03 | Rockwell Automation Technologies, Inc. | Module classification and searching for industrial control systems |
US7835805B2 (en) * | 2006-09-29 | 2010-11-16 | Rockwell Automation Technologies, Inc. | HMI views of modules for industrial control systems |
US9058032B2 (en) * | 2006-09-29 | 2015-06-16 | Rockwell Automation Technologies, Inc. | Hosting requirements for services |
US9217998B2 (en) * | 2006-09-29 | 2015-12-22 | Rockwell Automation Technologies, Inc. | Management and development of an industrial environment |
US7856279B2 (en) * | 2006-09-29 | 2010-12-21 | Rockwell Automation Technologies, Inc. | Module structure and use for industrial control systems |
US8265775B2 (en) * | 2008-09-30 | 2012-09-11 | Rockwell Automation Technologies, Inc. | Modular object publication and discovery |
WO2008051937A2 (en) * | 2006-10-20 | 2008-05-02 | Fei Company | Method for creating s/tem sample and sample structure |
WO2008051880A2 (en) | 2006-10-20 | 2008-05-02 | Fei Company | Method and apparatus for sample extraction and handling |
US7610177B2 (en) | 2006-10-27 | 2009-10-27 | Hewlett-Packard Development Company, L.P. | Manufacturing execution system, equipment interface and method of operating a manufacturing execution system |
TWI323016B (en) * | 2006-12-25 | 2010-04-01 | Ind Tech Res Inst | Real-time fault detection and classification system in use with a semiconductor fabrication process |
US8738410B2 (en) * | 2007-05-31 | 2014-05-27 | The Boeing Company | Methods and systems for managing electronic work instructions for manufacture of product |
US20080301012A1 (en) * | 2007-05-31 | 2008-12-04 | Cogswell Thomas A | Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems |
WO2009028537A1 (ja) * | 2007-08-29 | 2009-03-05 | Tokyo Electron Limited | 製造装置、情報処理方法、及びプログラム |
US8825189B2 (en) * | 2007-11-13 | 2014-09-02 | Fisher Rosemount Systems, Inc. | Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system |
US20090157216A1 (en) * | 2007-12-14 | 2009-06-18 | Chandrashekar Krishnaswamy | Automated scheduling of test wafer builds in a semiconductor manufacturing process flow |
US8555206B2 (en) * | 2007-12-21 | 2013-10-08 | Fisher-Rosemount Systems, Inc. | Methods and apparatus to present recipe progress status information |
US20090200674A1 (en) * | 2008-02-07 | 2009-08-13 | International Business Machines Corporation | Structure and method of forming transitional contacts between wide and thin beol wirings |
US20100057507A1 (en) * | 2008-08-29 | 2010-03-04 | International Business Machines Corporation | Real-Time Manufacturing Routing Optimization Using Content and Quality Level |
US8606379B2 (en) * | 2008-09-29 | 2013-12-10 | Fisher-Rosemount Systems, Inc. | Method of generating a product recipe for execution in batch processing |
US9335761B2 (en) * | 2008-09-30 | 2016-05-10 | Rockwell Automation Technologies, Inc. | Procedure classification for industrial automation |
US8151814B2 (en) * | 2009-01-13 | 2012-04-10 | Asm Japan K.K. | Method for controlling flow and concentration of liquid precursor |
TWI394089B (zh) * | 2009-08-11 | 2013-04-21 | Univ Nat Cheng Kung | 虛擬生產管制系統與方法及其電腦程式產品 |
US8429671B2 (en) * | 2009-10-21 | 2013-04-23 | Exxonmobil Upstream Research Company | Integrated workflow builder for disparate computer programs |
US9014827B2 (en) * | 2010-01-14 | 2015-04-21 | International Business Machines Corporation | Dynamically generating a manufacturing production work flow with selectable sampling strategies |
US8670857B2 (en) * | 2010-02-02 | 2014-03-11 | Applied Materials, Inc. | Flexible process condition monitoring |
US9530109B2 (en) | 2010-09-28 | 2016-12-27 | International Business Machines Corporation | Iterative pattern generation algorithm for plate design problems |
US8745634B2 (en) | 2010-10-15 | 2014-06-03 | Invensys Systems, Inc. | System and method for integrated workflow scaling |
US20120095585A1 (en) * | 2010-10-15 | 2012-04-19 | Invensys Systems Inc. | System and Method for Workflow Integration |
US9354630B2 (en) | 2011-05-19 | 2016-05-31 | Universal Laser Systems, Inc. | Flexible laser manufacturing systems and associated methods of use and manufacture |
US8420531B2 (en) | 2011-06-21 | 2013-04-16 | International Business Machines Corporation | Enhanced diffusion barrier for interconnect structures |
US8713517B2 (en) | 2011-07-21 | 2014-04-29 | Lam Research Corporation | Data architecture and user interface for plasma processing related software applications |
US9594367B2 (en) * | 2011-10-31 | 2017-03-14 | Rockwell Automation Technologies, Inc. | Systems and methods for process control including process-initiated workflow |
US9588503B2 (en) | 2011-11-15 | 2017-03-07 | Rockwell Automation Technologies, Inc. | Routing of enterprise resource planning messages |
US9953280B2 (en) | 2011-11-15 | 2018-04-24 | Rockwell Automation Technologies, Inc. | Industry-specific workflows in a manufacturing execution system with premier integration |
US9551983B2 (en) | 2011-11-15 | 2017-01-24 | Rockwell Automation Technologies, Inc. | Activity set management in a Manufacturing Execution System |
EP2610697B1 (en) | 2011-12-28 | 2018-04-25 | Yokogawa Electric Corporation | System and method for managing life-cycle of batch in production control system in real time |
EP2881903A1 (en) * | 2013-12-03 | 2015-06-10 | Siemens Aktiengesellschaft | Managing design updates in a manufacturing execution system |
US20140173554A1 (en) * | 2014-02-24 | 2014-06-19 | Arunav Gupta | Platform and a method for development of a software application |
US20170032016A1 (en) | 2014-03-07 | 2017-02-02 | SYSTEMA Systementwicklung Dip. -inf. Manfred Austen GmbH | Real-time information systems and methodology based on continuous homomorphic processing in linear information spaces |
CN104281088B (zh) * | 2014-05-27 | 2017-07-28 | 南京钢铁股份有限公司 | 一种热轧板带轧机的二级系统过程控制方法 |
US10372834B2 (en) | 2016-01-15 | 2019-08-06 | DISCUS Software Company | Creating and using an integrated technical data package |
US10177091B2 (en) | 2016-02-19 | 2019-01-08 | Globalfoundries Inc. | Interconnect structure and method of forming |
US9761484B1 (en) | 2016-07-25 | 2017-09-12 | International Business Machines Corporation | Interconnect structure and fabrication thereof |
US9773735B1 (en) | 2016-08-16 | 2017-09-26 | International Business Machines Corporation | Geometry control in advanced interconnect structures |
US9953864B2 (en) | 2016-08-30 | 2018-04-24 | International Business Machines Corporation | Interconnect structure |
US9786603B1 (en) | 2016-09-22 | 2017-10-10 | International Business Machines Corporation | Surface nitridation in metal interconnects |
US9721895B1 (en) | 2016-10-06 | 2017-08-01 | International Business Machines Corporation | Self-formed liner for interconnect structures |
US11133216B2 (en) | 2018-06-01 | 2021-09-28 | International Business Machines Corporation | Interconnect structure |
US10916503B2 (en) | 2018-09-11 | 2021-02-09 | International Business Machines Corporation | Back end of line metallization structure |
US10714382B2 (en) | 2018-10-11 | 2020-07-14 | International Business Machines Corporation | Controlling performance and reliability of conductive regions in a metallization network |
CN110297577A (zh) * | 2019-05-07 | 2019-10-01 | 惠科股份有限公司 | 画面显示控制方法 |
DE102019209110A1 (de) * | 2019-06-24 | 2020-12-24 | Sms Group Gmbh | Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie |
US11803849B1 (en) | 2020-07-30 | 2023-10-31 | Mark Lawrence | Method and apparatus for decentralized micro businesses |
CN112580997B (zh) * | 2020-12-24 | 2021-07-27 | 上海赛美特软件科技有限公司 | 数据收集方法及系统、spc系统及计算机存储介质 |
Family Cites Families (169)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US17256A (en) * | 1857-05-12 | Portable barrack | ||
US3205485A (en) | 1960-10-21 | 1965-09-07 | Ti Group Services Ltd | Screening vane electro-mechanical transducer |
US3229198A (en) | 1962-09-28 | 1966-01-11 | Hugo L Libby | Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample |
US3767900A (en) | 1971-06-23 | 1973-10-23 | Cons Paper Inc | Adaptive controller having optimal filtering |
CH569321A5 (zh) | 1973-10-03 | 1975-11-14 | Siemens Ag | |
US4000458A (en) | 1975-08-21 | 1976-12-28 | Bell Telephone Laboratories, Incorporated | Method for the noncontacting measurement of the electrical conductivity of a lamella |
US4209744A (en) | 1976-04-29 | 1980-06-24 | Fedosenko Jury K | Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques |
US4207520A (en) | 1978-04-06 | 1980-06-10 | The United States Of America As Represented By The Secretary Of The Air Force | Multiple frequency digital eddy current inspection system |
US4302721A (en) | 1978-05-08 | 1981-11-24 | Tencor Instruments | Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers |
US4368510A (en) | 1980-10-20 | 1983-01-11 | Leeds & Northrup Company | Automatic identification system for self tuning process controller |
US4609870A (en) | 1981-03-27 | 1986-09-02 | Hocking Electronics Limited | Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator |
US4616308A (en) | 1983-11-15 | 1986-10-07 | Shell Oil Company | Dynamic process control |
EP0162670B1 (en) | 1984-05-19 | 1991-01-02 | British Aerospace Public Limited Company | Industrial processing and manufacturing systems |
US4967381A (en) | 1985-04-30 | 1990-10-30 | Prometrix Corporation | Process control interface system for managing measurement data |
US4663703A (en) | 1985-10-02 | 1987-05-05 | Westinghouse Electric Corp. | Predictive model reference adaptive controller |
FR2589566A1 (fr) | 1985-11-06 | 1987-05-07 | Cegedur | Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault |
US4750141A (en) | 1985-11-26 | 1988-06-07 | Ade Corporation | Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same |
US4755753A (en) | 1986-07-23 | 1988-07-05 | General Electric Company | Eddy current surface mapping system for flaw detection |
US5260868A (en) | 1986-08-11 | 1993-11-09 | Texas Instruments Incorporate | Method for calendaring future events in real-time |
US4796194A (en) | 1986-08-20 | 1989-01-03 | Atherton Robert W | Real world modeling and control process |
US4901218A (en) | 1987-08-12 | 1990-02-13 | Renishaw Controls Limited | Communications adaptor for automated factory system |
US4938600A (en) | 1989-02-09 | 1990-07-03 | Interactive Video Systems, Inc. | Method and apparatus for measuring registration between layers of a semiconductor wafer |
US4957605A (en) | 1989-04-17 | 1990-09-18 | Materials Research Corporation | Method and apparatus for sputter coating stepped wafers |
JP2780814B2 (ja) | 1989-06-22 | 1998-07-30 | 株式会社日立製作所 | 生産管理システム |
US6345288B1 (en) * | 1989-08-31 | 2002-02-05 | Onename Corporation | Computer-based communication system and method using metadata defining a control-structure |
US5089970A (en) | 1989-10-05 | 1992-02-18 | Combustion Engineering, Inc. | Integrated manufacturing system |
US5108570A (en) | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
US5485082A (en) | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5236868A (en) | 1990-04-20 | 1993-08-17 | Applied Materials, Inc. | Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system |
US5208765A (en) | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5495417A (en) | 1990-08-14 | 1996-02-27 | Kabushiki Kaisha Toshiba | System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line |
US5220517A (en) | 1990-08-31 | 1993-06-15 | Sci Systems, Inc. | Process gas distribution system and method with supervisory control |
AU9030391A (en) | 1990-10-16 | 1992-05-20 | Consilium, Inc. | Object-oriented architecture for factory floor management |
US5295242A (en) | 1990-11-02 | 1994-03-15 | Consilium, Inc. | Apparatus and method for viewing relationships in a factory management system |
US5270222A (en) | 1990-12-31 | 1993-12-14 | Texas Instruments Incorporated | Method and apparatus for semiconductor device fabrication diagnosis and prognosis |
US5226118A (en) | 1991-01-29 | 1993-07-06 | Prometrix Corporation | Data analysis system and method for industrial process control systems |
EP0524317A4 (en) | 1991-02-08 | 1995-02-15 | Tokyo Shibaura Electric Co | Model forecasting controller |
US5430836A (en) * | 1991-03-01 | 1995-07-04 | Ast Research, Inc. | Application control module for common user access interface |
GB2257507B (en) | 1991-06-26 | 1995-03-01 | Digital Equipment Corp | Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection |
US5469361A (en) | 1991-08-08 | 1995-11-21 | The Board Of Regents Acting For And On Behalf Of The University Of Michigan | Generic cell controlling method and apparatus for computer integrated manufacturing system |
US5240552A (en) | 1991-12-11 | 1993-08-31 | Micron Technology, Inc. | Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection |
US5309221A (en) | 1991-12-31 | 1994-05-03 | Corning Incorporated | Measurement of fiber diameters with high precision |
JP3154425B2 (ja) | 1992-01-07 | 2001-04-09 | フクダ電子株式会社 | 心電図情報記録方法及び装置 |
US5525808A (en) | 1992-01-23 | 1996-06-11 | Nikon Corporaton | Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions |
US5283141A (en) | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5602492A (en) | 1992-03-13 | 1997-02-11 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate |
US5857258A (en) * | 1992-03-13 | 1999-01-12 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate |
FR2700403B1 (fr) | 1993-01-12 | 1995-04-07 | Sextant Avionique | Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne. |
US5490097A (en) | 1993-03-22 | 1996-02-06 | Fujitsu Limited | System and method for modeling, analyzing and executing work process plans |
US5586039A (en) | 1993-03-29 | 1996-12-17 | Texas Instruments Incorporated | Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components |
US5369544A (en) | 1993-04-05 | 1994-11-29 | Ford Motor Company | Silicon-on-insulator capacitive surface micromachined absolute pressure sensor |
US5367624A (en) | 1993-06-11 | 1994-11-22 | Consilium, Inc. | Interface for controlling transactions in a manufacturing execution system |
US5402367A (en) | 1993-07-19 | 1995-03-28 | Texas Instruments, Incorporated | Apparatus and method for model based process control |
US5642296A (en) | 1993-07-29 | 1997-06-24 | Texas Instruments Incorporated | Method of diagnosing malfunctions in semiconductor manufacturing equipment |
JP3039210B2 (ja) | 1993-08-03 | 2000-05-08 | 日本電気株式会社 | 半導体装置の製造方法 |
US5700180A (en) * | 1993-08-25 | 1997-12-23 | Micron Technology, Inc. | System for real-time control of semiconductor wafer polishing |
US5408405A (en) | 1993-09-20 | 1995-04-18 | Texas Instruments Incorporated | Multi-variable statistical process controller for discrete manufacturing |
US5546312A (en) | 1993-09-20 | 1996-08-13 | Texas Instruments Incorporated | Use of spatial models for simultaneous control of various non-uniformity metrics |
US5503707A (en) | 1993-09-22 | 1996-04-02 | Texas Instruments Incorporated | Method and apparatus for process endpoint prediction based on actual thickness measurements |
DE69425100T2 (de) | 1993-09-30 | 2001-03-15 | Koninkl Philips Electronics Nv | Dynamisches neuronales Netzwerk |
US5497381A (en) | 1993-10-15 | 1996-03-05 | Analog Devices, Inc. | Bitstream defect analysis method for integrated circuits |
US5375064A (en) | 1993-12-02 | 1994-12-20 | Hughes Aircraft Company | Method and apparatus for moving a material removal tool with low tool accelerations |
US5526293A (en) | 1993-12-17 | 1996-06-11 | Texas Instruments Inc. | System and method for controlling semiconductor wafer processing |
US5420796A (en) | 1993-12-23 | 1995-05-30 | Vlsi Technology, Inc. | Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication |
EP0665577A1 (en) | 1994-01-28 | 1995-08-02 | Applied Materials, Inc. | Method and apparatus for monitoring the deposition rate of films during physical vapour deposition |
US5664987A (en) | 1994-01-31 | 1997-09-09 | National Semiconductor Corporation | Methods and apparatus for control of polishing pad conditioning for wafer planarization |
US5511005A (en) | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5666297A (en) | 1994-05-13 | 1997-09-09 | Aspen Technology, Inc. | Plant simulation and optimization software apparatus and method using dual execution models |
US5629216A (en) | 1994-06-30 | 1997-05-13 | Seh America, Inc. | Method for producing semiconductor wafers with low light scattering anomalies |
US5757259A (en) * | 1994-07-28 | 1998-05-26 | Caterpillar Inc. | Anti-rotation device for joining a shell and encapsulated terminal/coil subassembly |
JP3402412B2 (ja) | 1994-09-20 | 2003-05-06 | 株式会社リコー | プロセスシミュレーション入力データ設定装置 |
EP0706209A3 (en) | 1994-10-06 | 1996-12-27 | Applied Materials Inc | Thin film resistance measurement |
US5519605A (en) | 1994-10-24 | 1996-05-21 | Olin Corporation | Model predictive control apparatus and method |
DE4446966A1 (de) | 1994-12-28 | 1996-07-04 | Itt Ind Gmbh Deutsche | Informationssystem zur Produktionskontrolle |
US5534289A (en) | 1995-01-03 | 1996-07-09 | Competitive Technologies Inc. | Structural crack monitoring technique |
US5617023A (en) | 1995-02-02 | 1997-04-01 | Otis Elevator Company | Industrial contactless position sensor |
US5646870A (en) | 1995-02-13 | 1997-07-08 | Advanced Micro Devices, Inc. | Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers |
US5761065A (en) | 1995-03-30 | 1998-06-02 | Advanced Micro Devices, Inc. | Arrangement and method for detecting sequential processing effects in manufacturing |
US5541510A (en) | 1995-04-06 | 1996-07-30 | Kaman Instrumentation Corporation | Multi-Parameter eddy current measuring system with parameter compensation technical field |
US5665214A (en) | 1995-05-03 | 1997-09-09 | Sony Corporation | Automatic film deposition control method and system |
US5764543A (en) | 1995-06-16 | 1998-06-09 | I2 Technologies, Inc. | Extensible model network representation system for process planning |
US5649169A (en) | 1995-06-20 | 1997-07-15 | Advanced Micro Devices, Inc. | Method and system for declustering semiconductor defect data |
US5665199A (en) | 1995-06-23 | 1997-09-09 | Advanced Micro Devices, Inc. | Methodology for developing product-specific interlayer dielectric polish processes |
US5599423A (en) | 1995-06-30 | 1997-02-04 | Applied Materials, Inc. | Apparatus and method for simulating and optimizing a chemical mechanical polishing system |
US5740429A (en) | 1995-07-07 | 1998-04-14 | Advanced Micro Devices, Inc. | E10 reporting tool |
US6036349A (en) * | 1995-07-27 | 2000-03-14 | Health Designs, Inc. | Method and apparatus for validation of model-based predictions |
JPH09129530A (ja) | 1995-09-25 | 1997-05-16 | Texas Instr Inc <Ti> | サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御 |
US5655951A (en) | 1995-09-29 | 1997-08-12 | Micron Technology, Inc. | Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers |
US5777901A (en) | 1995-09-29 | 1998-07-07 | Advanced Micro Devices, Inc. | Method and system for automated die yield prediction in semiconductor manufacturing |
US5761064A (en) | 1995-10-06 | 1998-06-02 | Advanced Micro Devices, Inc. | Defect management system for productivity and yield improvement |
US5654903A (en) | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5603707A (en) | 1995-11-28 | 1997-02-18 | The Procter & Gamble Company | Absorbent article having a rewet barrier |
JP3892493B2 (ja) * | 1995-11-29 | 2007-03-14 | 大日本スクリーン製造株式会社 | 基板処理システム |
US5719796A (en) | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
AU2422797A (en) * | 1996-03-28 | 1997-10-17 | Bio-Analytics, Inc. Doing Business As Biomedware | Method for measuring a degree of association for dimensionally referenced data |
US6017143A (en) * | 1996-03-28 | 2000-01-25 | Rosemount Inc. | Device in a process system for detecting events |
US5871805A (en) * | 1996-04-08 | 1999-02-16 | Lemelson; Jerome | Computer controlled vapor deposition processes |
US5735055A (en) | 1996-04-23 | 1998-04-07 | Aluminum Company Of America | Method and apparatus for measuring the thickness of an article at a plurality of points |
KR100243636B1 (ko) * | 1996-05-14 | 2000-03-02 | 요시다 아키라 | 다이캐스팅기용 주조 제어 지원시스템 |
US5910846A (en) * | 1996-05-16 | 1999-06-08 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5663797A (en) | 1996-05-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5667424A (en) | 1996-09-25 | 1997-09-16 | Chartered Semiconductor Manufacturing Pte Ltd. | New chemical mechanical planarization (CMP) end point detection apparatus |
US6041263A (en) * | 1996-10-01 | 2000-03-21 | Aspen Technology, Inc. | Method and apparatus for simulating and optimizing a plant model |
US6064759A (en) * | 1996-11-08 | 2000-05-16 | Buckley; B. Shawn | Computer aided inspection machine |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US5889991A (en) * | 1996-12-06 | 1999-03-30 | International Business Machines Corp. | Method and system for customizing a palette using any java class |
US5862054A (en) * | 1997-02-20 | 1999-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process monitoring system for real time statistical process control |
JPH10329015A (ja) * | 1997-03-24 | 1998-12-15 | Canon Inc | 研磨装置および研磨方法 |
US6219711B1 (en) * | 1997-05-13 | 2001-04-17 | Micron Electronics, Inc. | Synchronous communication interface |
US6012048A (en) * | 1997-05-30 | 2000-01-04 | Capital Security Systems, Inc. | Automated banking system for dispensing money orders, wire transfer and bill payment |
TW436369B (en) * | 1997-07-11 | 2001-05-28 | Tokyo Seimitsu Co Ltd | Wafer polishing device |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
KR100258841B1 (ko) * | 1997-12-26 | 2000-06-15 | 윤종용 | 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법 |
EP0932194A1 (en) * | 1997-12-30 | 1999-07-28 | International Business Machines Corporation | Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision |
KR100278600B1 (ko) * | 1998-01-14 | 2001-01-15 | 윤종용 | 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법 |
US5985497A (en) * | 1998-02-03 | 1999-11-16 | Advanced Micro Devices, Inc. | Method for reducing defects in a semiconductor lithographic process |
US6017771A (en) * | 1998-04-27 | 2000-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for yield loss analysis by yield management system |
US6228280B1 (en) * | 1998-05-06 | 2001-05-08 | International Business Machines Corporation | Endpoint detection by chemical reaction and reagent |
US6263255B1 (en) * | 1998-05-18 | 2001-07-17 | Advanced Micro Devices, Inc. | Advanced process control for semiconductor manufacturing |
US6381564B1 (en) * | 1998-05-28 | 2002-04-30 | Texas Instruments Incorporated | Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators |
US6230069B1 (en) * | 1998-06-26 | 2001-05-08 | Advanced Micro Devices, Inc. | System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control |
US6395152B1 (en) * | 1998-07-09 | 2002-05-28 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
US6169931B1 (en) * | 1998-07-29 | 2001-01-02 | Southwest Research Institute | Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life |
US6210983B1 (en) * | 1998-10-21 | 2001-04-03 | Texas Instruments Incorporated | Method for analyzing probe yield sensitivities to IC design |
US6173240B1 (en) * | 1998-11-02 | 2001-01-09 | Ise Integrated Systems Engineering Ag | Multidimensional uncertainty analysis |
US6214734B1 (en) * | 1998-11-20 | 2001-04-10 | Vlsi Technology, Inc. | Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection |
US6172756B1 (en) * | 1998-12-11 | 2001-01-09 | Filmetrics, Inc. | Rapid and accurate end point detection in a noisy environment |
US6339727B1 (en) * | 1998-12-21 | 2002-01-15 | Recot, Inc. | Apparatus and method for controlling distribution of product in manufacturing process |
US6212961B1 (en) * | 1999-02-11 | 2001-04-10 | Nova Measuring Instruments Ltd. | Buffer system for a wafer handling system |
US7020537B2 (en) * | 1999-04-13 | 2006-03-28 | Semitool, Inc. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US6334807B1 (en) * | 1999-04-30 | 2002-01-01 | International Business Machines Corporation | Chemical mechanical polishing in-situ end point system |
US6360133B1 (en) * | 1999-06-17 | 2002-03-19 | Advanced Micro Devices, Inc. | Method and apparatus for automatic routing for reentrant process |
US6204165B1 (en) * | 1999-06-24 | 2001-03-20 | International Business Machines Corporation | Practical air dielectric interconnections by post-processing standard CMOS wafers |
US6046108A (en) * | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
KR100702741B1 (ko) * | 1999-06-29 | 2007-04-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 반도체 장치 제조를 위한 집적식 임계치수 제어 |
US6368883B1 (en) * | 1999-08-10 | 2002-04-09 | Advanced Micro Devices, Inc. | Method for identifying and controlling impact of ambient conditions on photolithography processes |
US6287879B1 (en) * | 1999-08-11 | 2001-09-11 | Micron Technology, Inc. | Endpoint stabilization for polishing process |
US6217412B1 (en) * | 1999-08-11 | 2001-04-17 | Advanced Micro Devices, Inc. | Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad |
US6391780B1 (en) * | 1999-08-23 | 2002-05-21 | Taiwan Semiconductor Manufacturing Company | Method to prevent copper CMP dishing |
US6556881B1 (en) * | 1999-09-09 | 2003-04-29 | Advanced Micro Devices, Inc. | Method and apparatus for integrating near real-time fault detection in an APC framework |
US6368879B1 (en) * | 1999-09-22 | 2002-04-09 | Advanced Micro Devices, Inc. | Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece |
US6560504B1 (en) * | 1999-09-29 | 2003-05-06 | Advanced Micro Devices, Inc. | Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control |
US6532555B1 (en) * | 1999-10-29 | 2003-03-11 | Advanced Micro Devices, Inc. | Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework |
US6546508B1 (en) * | 1999-10-29 | 2003-04-08 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework |
US6355559B1 (en) * | 1999-11-18 | 2002-03-12 | Texas Instruments Incorporated | Passivation of inlaid metallization |
US6340602B1 (en) * | 1999-12-10 | 2002-01-22 | Sensys Instruments | Method of measuring meso-scale structures on wafers |
US6567717B2 (en) * | 2000-01-19 | 2003-05-20 | Advanced Micro Devices, Inc. | Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices |
US6517414B1 (en) * | 2000-03-10 | 2003-02-11 | Appied Materials, Inc. | Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus |
US6368884B1 (en) * | 2000-04-13 | 2002-04-09 | Advanced Micro Devices, Inc. | Die-based in-fab process monitoring and analysis system for semiconductor processing |
WO2002004887A1 (en) * | 2000-07-08 | 2002-01-17 | Semitool, Inc. | Methods and apparatus for processing microelectronic workpieces using metrology |
US6379980B1 (en) * | 2000-07-26 | 2002-04-30 | Advanced Micro Devices, Inc. | Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination |
US6541401B1 (en) * | 2000-07-31 | 2003-04-01 | Applied Materials, Inc. | Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate |
US6725402B1 (en) * | 2000-07-31 | 2004-04-20 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework |
US6708074B1 (en) * | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6537912B1 (en) * | 2000-08-25 | 2003-03-25 | Micron Technology Inc. | Method of forming an encapsulated conductive pillar |
KR100366630B1 (ko) * | 2000-09-20 | 2003-01-09 | 삼성전자 주식회사 | 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법 |
US6517413B1 (en) * | 2000-10-25 | 2003-02-11 | Taiwan Semiconductor Manufacturing Company | Method for a copper CMP endpoint detection system |
US6346426B1 (en) * | 2000-11-17 | 2002-02-12 | Advanced Micro Devices, Inc. | Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements |
US6728587B2 (en) * | 2000-12-27 | 2004-04-27 | Insyst Ltd. | Method for global automated process control |
US6535783B1 (en) * | 2001-03-05 | 2003-03-18 | Advanced Micro Devices, Inc. | Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework |
US6336841B1 (en) * | 2001-03-29 | 2002-01-08 | Macronix International Co. Ltd. | Method of CMP endpoint detection |
US6549279B2 (en) * | 2001-04-09 | 2003-04-15 | Speedfam-Ipec Corporation | Method and apparatus for optical endpoint calibration in CMP |
US6540591B1 (en) * | 2001-04-18 | 2003-04-01 | Alexander J. Pasadyn | Method and apparatus for post-polish thickness and uniformity control |
US6678570B1 (en) * | 2001-06-26 | 2004-01-13 | Advanced Micro Devices, Inc. | Method and apparatus for determining output characteristics using tool state data |
US6708075B2 (en) * | 2001-11-16 | 2004-03-16 | Advanced Micro Devices | Method and apparatus for utilizing integrated metrology data as feed-forward data |
US6515368B1 (en) * | 2001-12-07 | 2003-02-04 | Advanced Micro Devices, Inc. | Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6735492B2 (en) * | 2002-07-19 | 2004-05-11 | International Business Machines Corporation | Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings |
-
1999
- 1999-07-29 US US09/363,966 patent/US7069101B1/en not_active Expired - Fee Related
-
2000
- 2000-07-19 DE DE60030369T patent/DE60030369T2/de not_active Expired - Lifetime
- 2000-07-19 EP EP00115577A patent/EP1072967B1/en not_active Expired - Lifetime
- 2000-07-21 TW TW089114674A patent/TW514771B/zh not_active IP Right Cessation
- 2000-07-27 SG SG200004286A patent/SG85207A1/en unknown
- 2000-07-29 KR KR1020000043981A patent/KR100779436B1/ko not_active IP Right Cessation
- 2000-07-31 JP JP2000231003A patent/JP2001143981A/ja active Pending
-
2002
- 2002-02-28 US US10/084,092 patent/US7174230B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10299560B1 (en) | 2017-11-10 | 2019-05-28 | Follicle, LLC | Battery operated hair dryer |
Also Published As
Publication number | Publication date |
---|---|
DE60030369T2 (de) | 2007-08-30 |
DE60030369D1 (de) | 2006-10-12 |
US7174230B2 (en) | 2007-02-06 |
EP1072967B1 (en) | 2006-08-30 |
EP1072967A2 (en) | 2001-01-31 |
SG85207A1 (en) | 2003-11-27 |
JP2001143981A (ja) | 2001-05-25 |
KR20010030038A (ko) | 2001-04-16 |
EP1072967A3 (en) | 2001-11-21 |
US20020156548A1 (en) | 2002-10-24 |
US7069101B1 (en) | 2006-06-27 |
KR100779436B1 (ko) | 2007-11-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW514771B (en) | Computer integrated manufacturing techniques | |
US8275680B2 (en) | Enabling transactional mechanisms in an automated controller system | |
US8019796B1 (en) | Incremental association of metadata to production data | |
US7657404B2 (en) | Engineering method and system for industrial automation systems | |
US20070067458A1 (en) | Proxy server for integration of industrial automation data over multiple networks | |
US9696698B2 (en) | Method and system for handling batch production within ANSI/ISA/95 production scheduling extended with batch production parameter historian | |
TW200527173A (en) | Method and system for automating issue resolution in manufacturing execution and material control systems | |
EP1903411B1 (en) | Proxy server for integration of industrial automation data over multiple networks | |
CN101151865A (zh) | 多协议多客户端设备服务器 | |
Azaiez et al. | Towards building OPC-UA companions for semi-conductor domain | |
Scott | Comparative advantage through manufacturing execution systems | |
US7613535B2 (en) | Independent, self-contained, risk isolated, sectional CIM design for extremely large scale factory operation | |
Guo et al. | The application of OPC DA in factory data acquisition | |
Grauer et al. | Towards an IT-framework for digital enterprise integration | |
Park et al. | High-fidelity rapid prototyping of 300 mm fabs through discrete event system modeling | |
Diep et al. | A distributed manufacturing execution system implemented with agents: the PABADIS model | |
Nagesh et al. | Intelligent second-generation MES solutions for 300mm fabs | |
Liao | Automation and integration in semiconductor manufacturing | |
Ferreira et al. | Virtual enterprise process management: an application to industrial maintenance | |
Golra et al. | Multi-level modeling to OPC UA for migrating to Industry 4.0 in semiconductor manufacturing | |
De Ugarte et al. | Adaptive Manufacturing: A real-time simulation-based control system | |
Yu et al. | Development of virtual foundry fab based on distributed multi-agents | |
Leppälä | Manufacturing Execution System Interface for Process Industry Control Systems Implemented With Edge Computing | |
Apte et al. | Evolution of transparent manufacturing: An architecture for a Java-based controller of a CIM cell | |
Mori et al. | PRM Field Device Management Package |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |