KR100779436B1 - 컴퓨터 집적 제조 기술 - Google Patents

컴퓨터 집적 제조 기술 Download PDF

Info

Publication number
KR100779436B1
KR100779436B1 KR1020000043981A KR20000043981A KR100779436B1 KR 100779436 B1 KR100779436 B1 KR 100779436B1 KR 1020000043981 A KR1020000043981 A KR 1020000043981A KR 20000043981 A KR20000043981 A KR 20000043981A KR 100779436 B1 KR100779436 B1 KR 100779436B1
Authority
KR
South Korea
Prior art keywords
components
component
framework
building blocks
management
Prior art date
Application number
KR1020000043981A
Other languages
English (en)
Other versions
KR20010030038A (ko
Inventor
아라카파람빌존
치톰
쵸우빌리
드소우자패트릭엠
호킨스파리스
후앙챨스
젠슨제트
크리쉬나멀씨바드리엔
쿨카르니프라딥엠
쿨카르니프라카쉬엠
린웬퐁
모안샨싸
난디비시누
유안휴이-신
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010030038A publication Critical patent/KR20010030038A/ko
Application granted granted Critical
Publication of KR100779436B1 publication Critical patent/KR100779436B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41845Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by system universality, reconfigurability, modularity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31018Virtual factory, modules in network, can be selected and combined at will
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31202Semiconductor equipment communication standard SECS
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45026Circuit board, pcb
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

본 발명은 SW 개발 및 통합 (210), 설치 및 관리 (220), 공장 모델링 (230), 제조 계획 (240), 제조 제어, 모니터링 및 트래킹 (250) 및 제조 결과들의 분석 (260) 을 위한 주기 활동들을 갖는 신규한 공장 자동화 주기 (200) 를 포함하는 신규한 분산형 공장 시스템 프레임워크를 제공한다. 공장 주기는 프레임워크 구성요소들을 포함한다. 분산형 시스템 구성요소들은 또한 애플리케이션 구성요소들 및 SW 빌딩 블록들을 포함한다. 프레임워크 구성요소들은 애플리케이션 구성요소들을 관리하도록 구성되는 반면에, 애플리케이션 구성요소들은 웨이퍼 제조와 같은 프로세스를 관리하기 위한 명령들을 제공하는 것으로 활용된다. 빌딩 블록들은 프레임워크 및 애플리케이션 구성요소들을 형성 또는 수정하는데 적용된다. 분산형 공장 시스템 프레임워크는 집적 프로세스 시스템들을 위한 방법들이 수행되는 컴퓨터를 제공하고 프로세스 및 장비 변경들을 촉진한다.

Description

컴퓨터 집적 제조 기술{COMPUTER INTEGRATED MANUFACTURING TECHNIQUES}
도 1 은 종래의 웨이퍼 제조 프로세스를 개략적으로 설명하는 흐름도.
도 2 는 종래의 웨이퍼 제조 스퍼터 금속화 프로세스를 개략적으로 설명하는 흐름도.
도 3 은 종래의 장비 시간 상태를 개략적으로 설명하는 도.
도 4 는 도 3 에 도시된 도의 종래의 장비 시간 상태를 개략적으로 설명하는 블록도.
도 5 는 도 3 에 도시된 도의 종래의 장비 시간 상태를 개략적으로 설명하는 블록도.
도 6 은 본 발명의 공장 자동화 주기를 개략적으로 설명하는 블록도.
도 7 은 본 발명의 프레임워크 구성요소들 사이의 상호작용을 설명하는 개략도.
도 8 은 본 발명의 구성요소 서버들을 개략적으로 설명하는 블록도.
도 9 는 본 발명의 도구 통합 구성요소를 개략적으로 설명하는 블록도.
도 10 은 본 발명의 시각적인 작업흐름으로부터 도구 인터페이스 프로그램으로의 메세지들의 순서를 설명하는 개략도.
본 발명은 컴퓨터 집적 제조를 위한 기술에 관한 것이다.
IC (집적 회로) 와 같은 반도체 장치는 일반적으로 반도체 재료의 단일체로 집적화되어 제조되는 트랜지스터, 다이오드 및 저항기와 같은 전자 회로 소자들을 갖는다. 다양한 회로 소자들이 도전성 커넥터들을 통해 접속되어 수백만 개의 개별적인 회로 소자들을 포함할 수 있는 완성형 회로를 형성한다. 집적 회로들은 전형적으로 처리 단계들의 순서로 구성된 프로세스에서 반도체 웨이퍼들로부터 제조된다. 통상적으로 웨이퍼 제조라고 불리우는 이 프로세스는 산화, 에칭 마스크 제작, 에칭, 재료 증착, 평탄화 및 세정과 같은 공정들을 포함한다.
알루미늄 게이트 PMOS (p-채널 금속 산화물 반도체 트랜지스터) 웨이퍼 제조 프로세스 (40) 가 도 1 에서 개략적으로 도시되는데, 이는 W.R. Runyan 등의 Addison Wesley Publ. Comp. Inc., P.48, 1994 의 Semiconductor Integrated Circuit Processing Technology 에 기재된 바로서, 단계 41 에서 단계 73 까지의 주요 프로세스를 설명한다. 이들 각 주요 프로세스 단계들은 전형적으로 여러 서브 단계들을 포함한다. 예를 들어, 스퍼터 증착에 의해 웨이퍼 제조 체임버에 알루미늄층을 제공하는 금속화와 같은 주요 프로세스 단계들은 미국 특허 5,108,570 호 (R.C. Wang, 1992) 에서 개시된다. 이 스퍼터 증착 프로세스는 도 2 의 프로세스 (80) 의 서브 단계들 (81 내지 97) 에서 개략적으로 도시된다.
도 1 및 도 2 는 연속적인 웨이퍼 제조 프로세스를 도시한다. 병렬 프로 세스 단계들을 제공하는 웨이퍼 제조 서브 시스템들을 활용하는 것이 또한 공지의 것이다. 그러한 서브 시스템들은 전형적으로 하나 이상의 클러스터 도구 (cluster tool) 들을 포함한다. 여기에 정의된 클러스터 도구는 체임버들의 시스템 및 웨이퍼 조절 장비를 포함하며, 웨이퍼들은 클러스터 도구 체임버들 안에서 제어된 크러스터 도구를 진공과 같은 환경으로 두지 않는다. 클러스터 도구의 예는, 중앙 체임버 및 4개의 프로세스 체임버들을 갖는 진공 장치를 사용하는 미국 특허 5,236,868 호 (J.Nulman, 1993) 에 개시된다. 중앙 체임버에서의 웨이퍼 조절 로봇이 각 프로세스 체임버들 내부로의 접근을 갖고, 웨이퍼들을 진공 환경에서 유지시키는 동안 웨이퍼들을 중앙 체임버로부터 각 체임버들로 전송한다. 일 예로서, '868 특허의 클러스터에서의 웨이퍼들이 먼저 체임버의 세정 처리를 위해 전송되고, 그 후 PVD (physical vapor deposition) 체임버로 전송되며, 어닐링 (annealing) 체임버 및 연속적으로 디개싱 (degassing) 체임버로 전송되고, 따라서 연속적인 프로세스를 활용한다. 병렬로 사용되는 체임버들에서의 웨이퍼들을 처리하는 '868 특허에서 개시된 것과 같은 클러스터 도구들을 사용하는 것이 또한 공지되어 있다. 예를 들어, 만약 느린 프로세스 단계가 빠른 프로세스 단계에 의해 따라진다면, 제 4 의 체임버가 빠른 프로세스를 위해 사용되는 동안, 3개의 체임버들이 느린 프로세스를 위해 병렬로 사용될 수 있다.
효율적인 웨이퍼 재고 관리가 프로세스 되지 않은 또는 부분적으로 프로세스된 웨이퍼들의 재고를 최소로 유지시키는데 필요하고, 그로서 웨이퍼 제조에서 생산되는 반도체 장치들의 유닛 단가를 최소화시킨다. 더 오래된 웨이퍼들의 프로 세스 시간은 더 낮은 수율을 가져온다는 것은 공지의 사실이므로, 프로세스에서 웨이퍼들의 재고를 최소화시키는 것은 또한 웨이퍼 수율 이득을 가져온다. 웨이퍼 재고 관리는 전형적으로, 예를 들어 병렬 및 직렬 프로세스 단계들을 스케쥴링하여 프로세스 병목현상을 피하는 것과 같이, 스케쥴링 기술들을 사용하여 프로세스된 웨이퍼들의 요구의 견지에서 장비 케이퍼빌러티 (capability) 를 최대화시킨다. 제조중인 웨이퍼 재고 관리는 웨이퍼 제조를 통해 웨이퍼 로트 (lot) 들이나 웨이퍼 카세트 (cassette) 들을 트래킹하는 것과 같은 제조중인 웨이퍼 트래킹에 의해 촉진된다는 것은 당업자에 있어 잘 알려진 바와 같다. 웨이퍼 제조의 효율적인 재고 관리는 또한, 예를 들어 예정되지 않은 유지 (maintenance), 특정한 제한 이외의 프로세스 파라미터들로부터의 방해, 프로세스 가스와 같은 요구되는 재료의 사용불가능 상태, 필요한 유지 대체 부분의 사용불가능 상태 또는 체임버와 같은 프로세스 도구의 사용불가능 상태에 의해 초래될 수 있는 예정되지 않은 고장 시간에 기인한 병목현상 또는 방해의 낮은 발생율을 요한다.
웨이퍼 제조의 많은 구성요소들 또는 서브 시스템들이 자동화되어 높은 프로세스 신뢰도와 재생산성을 성취하고 수율을 최대화시킨다. 체임버들과 같은 웨이퍼 제조 도구들은 전형적으로, 상기 도구에 의해 수행되는 프로세스를 동작시키기 위한 해결책으로서 일반적으로 알려진 명령들의 세트를 사용하는 컴퓨터에 의해 제어된다. 그러나, 다양한 프로세스들 및 메트롤로지 (metrology) 가 집적화된 자동화의 높은 수준은, 많은 웨이퍼 제조 프로세스들의 복잡성과 내부적 의존성에 기인하여 성취되기 어려우며, 예를 들어 Peter van Zandt의 3rd ed., McGraw-Hill, pp. 472 - 478, 1997 의 Microchip Fabrication 에 개시되어 있다. 웨이퍼 제조와 같은 제조 시스템들은 MES (manufacturing executions systems) 기능을 제공하는 소프트웨어를 활용하는 것으로 알려져 있다. 바람직하게는, 웨이퍼 제조 MES 는 전체 웨이퍼 제조를 위해 집적화되어 중앙집중된 웨이퍼 제조 관리 및 제어를 이룬다. 그러나, 당업자에게 있어 상업적인 웨이퍼의 제조는 전형적으로 상이한 장비 제조자들로부터의 반도체 프로세스 도구들을 포함하고, 집적화된 MES 를 개발하려는 시도가 있을때 도구 적합성의 어려움의 결과를 낳는다. 현재 사용되는 웨이퍼 제조 MES의 또다른 단점은, 해결책의 변화, 도구 (tool) 의 추가 또는 대체 또는 다른 웨이퍼 제품을 만들기 위한 웨이퍼 제조의 변경들의 필요와 같은, 웨이퍼 제조에 있어서의 각 프로세스 변화를 위한 광범위한 소프트웨어 프로그래밍의 필요이다.
당업자에 있어 예를 들어 웨이퍼 제조를 포함하는 반도체 제조 장비의 기능들은 도 3 에서 개략적으로 도시된 것과 같은 6가지 상태와 같은 기본적인 장비 상태로 정의될 수 있고, 이는 Semiconductor Equipment and Materials International (SEMI), pp. 1-23, 1996 에 의해 출간된 SEMI E10-96, Standard For Definition And Measurement Of Equipment Reliability, Availability, And Maintainability (RAM) 에서 개시된다. 반도체 산업은 전형적으로 이러한 6개의 장비 상태들을 사용하여 장비 RAM (신뢰성, 유용성 및 유지성) 을 측정 및 표현하는데, 이는 상기 기능을 수행하는 사람에 독립적인 기능적인 장비 지급에 기초한다. 이 6개의 기본적인 장비 상태들은 예정되지 않은 시간 (102), 예정되지 않은 고장시간 (104), 예정된 고장시간 (106), 엔지니어링 시간 (108), 대기 시간 (110) 및 생산 시간 (112) 를 포함한다. (도 3) 예정되지 않은 시간 (102) 은, 예를 들어 작업하지 않는 이동과 같은, 장비가 사용되는 것으로 예정되지 않은 시간 주기를 나타낸다. 예정되지 않은 고장시간 (104) 은, 예를 들어 장비 수리와 같은, 장비가 그의 의도된 기능을 수행하는 상태에 있지 않은 시간 주기들을 말한다. 예정된 고장시간 (106) 은, 프로세스 셋업 또는 예방적인 유지 (maintenance) 와 같이, 장비가 그의 기능을 수행할 수 있지만 사용하지 않는 때에 발생한다. 엔지니어링 시간 (108) 은, 예를 들어 장비 평가와 같은 장비가 엔지니어링 테스트를 위해 실행되어 동작되는 시간 주기와 관련된다. 대기 시간 (110) 은, 예를 들어 실행자가 없거나 관계되는 정보 시스템들로부터의 입력이 없는 것과 같은, 장비가 비록 그의 의도된 기능을 수행하는 상태에 있어도 동작하지 않고, 그의 기능을 수행할 능력만 갖고 있는 시간이다. 생산 상태 (112) 는 일정한 생산 및 재생산과 같은, 장비가 그의 의도된 기능을 수행하는 시간 주기를 나타낸다.
도 3 의 총 시간 주기 (114) 는 측정되는 주기 동안의 총 시간이다; 이 시간은 6개의 장비 상태들 (102, 104, 106, 108, 110 및 112) 을 포함한다. 동작 시간 (116) 은 상태들 (104, 106, 108, 110 및 112) 의 총 시간 주기이다. 동작 시간 (116) 은 상태들 (104 및 106) 으로 구성된 장비 고장시간 (118) 과 장비 가동시간 (120) 을 포함한다. 장비 가동시간 (120) 은 엔지니어링 시간 (108) 및 대기 시간 (110) 과 생산 시간 (112) 로 구성된 제조 시간 (122) 을 포함한다.
도 4 및 도 5 는 도 3 에 도시된 6개의 장비 상태들의 보다 상세한 설명을 제공하며, 이는 SEMI E10-96, pp. 1-6 에서 개시된다. 도 4 에 도시된 바와 같이, 총 시간 (114) 은 예정되지 않은 시간 (102) 및 동작 시간 (116) 으로 이루어진다. 예정되지 않은 시간 (102) 은 비동작 이동들 (130), 장비 설치, 수정, 개조 또는 업그레이드 (132), 오프-라인 (off-line) 학습 (134) 및 셧다운 또는 스타트업 시간 주기 (136) 를 포함한다. 도 5 에 개략적으로 도시된 바와 같이, 동작 시간 (116) 은 장비 고장시간 (118) 및 장비 가동시간 (120) 으로 이루어진다. 장비 고장시간 (118) 은 예정되지 않은 고장시간 (104) 및 예정된 고장시간 (106) 으로 이루어진다. 예정되지 않은 고장시간 (104) 는 유지 지연을 위한 고장 시간 (140), 수리 시간 (142), 소모품/화학물의 변경 (144), 특정 입력의 결여 (146) 또는 설비 관련 고장시간 (148) 을 포함한다. 예정된 고장시간 (106) 은 유지 지연을 위한 고장 시간 (150), 생산 테스트 (152), 예방적인 유지 (154), 소모품/화학물의 변경 (156), 셋업 (158) 또는 설비 관련부 (159) 로 이루어진다.
도 5 에 도시된, 장비 가동시간 (120) 은 엔지니어링 시간 (108) 및 제조 시간 (122) 으로 이루어진다. 엔지니어링 시간 (108) 은 프로세스 실험들 (160) 및 장비 실험들 (162) 을 포함한다. 제조 시간 (122) 은 대기 시간 (110) 및 생산 시간 (112) 으로 이루어진다. 대기 시간 (110) 은 실행자의 부재 (180), 제품의 부재 (182), 지원 도구의 부재 (184) 또는 관련된 클러스터 모듈의 고장 (186) 이 존재하는 동안의 시간을 포함한다. 생산 시간 (112) 은 일정한 생산 (190), 제 3 자의 생산 (192), 재생산 (194) 또는 엔지니어링 실행 (196) 이 존재하는 동안의 시간 주기이다. 도 3 내지 도 5 와 관련되어 기재된 다양한 장비의 상태들은 반도체 산업에서 장비 정보와 관련된 RAM 과 통신하고 그를 평가하는 기초를 제공한다. 장비 정보와 관련된 RAM 은, 예를 들어 SEMI E10-96 pp. 6-11 에 개시된 바와 같이, 장비 신뢰성, 장비 유용성, 장비 유지성 및 장비 활용성과 같이 당업자에 잘 알려진 주제들을 포함한다. 일반적으로, MES 기능들은 웨이퍼 제조와 같은 제조 시스템들에서의 장비 상태와 관련하여 정보의 트랙을 유지시키는데 사용될 수 있다.
반도체 재료들, 프로세스 및 테스트 기술들에 있어서의 발달들은 IC 회로 소자들의 전체 사이즈를 감소시키는 결과를 초래했고, 반면에 단일체 상의 소자들의 수의 증가를 가져왔다. 이는 각 프로세스 단계 및 프로세스 단계들의 조합 또는 연속을 위한 생산 및 프로세스 제어의 높은 수준을 요한다. 따라서 프로세스 가스들과 같은 프로세스 재료들에 있어서의 불순물들 및 입자 오염을 제어하는 것이 필요로 된다. 또한 온도, 압력, 가스 흐름 속도, 프로세스 시간 간격 및 입력 스퍼터 전력과 같은 프로세스 파라미터들의 제어가 필요로 된다. 도 1 및 도 2 에서 도시된 바와 같이, 웨이퍼 제조는 임의의 특정한 프로세스 단계의 결과가 하나 이상의 앞선 프로세스 단계들에 의존성이 높은, 복잡한 프로세스 단계들의 연속을 포함한다. 예를 들어, 만약 인접한 IC 층들에서의 상호접속을 위한 에칭 마스크들의 오버레이(overlay) 또는 정렬에서 오류가 있다면, 결과적인 상호 접속부들은 그들의 적절한 설계 위치에 있지 않을 것이다. 이로 인해 상호접속부들은 너무 근접하여 배치되며, 이 상호접속부들 사이의 전기적인 단락 결함을 형성한다. 또한 두 개의 상이한 프로세스 문제들이 누적된 영향을 가질 수 있다는 것은 공지의 사실이다. 예를 들어, 전기적인 단락을 일으키지 않을 정도로 떨어진 에칭 마스크들의 상호접속부의 정렬 미스 (miss) 의 경우에도, 만약 프로세스가 약간의 입자 오염이 된 경우라면 전기적인 단락을 일으킬 수 있는데, 이 약간의 오차는 만약 상호접속부 마스크들이 제대로 정렬되었다면 전기적인 단락을 일으키지 않는 오차이다.
상술한 바와 같은 프로세스 및/또는 재료들의 결함들은 일반적으로 감소된 웨이퍼 제조 수율을 초래하고, 상기 수율은 일정한 제조에서 생산되는 적합한 웨이퍼들의 백분율로 정의된다. 제조중 테스트들 및 프로세스 파라미터들의 모니터링은, 소정의 제조중인 제품 또는 프로세스 문제 또는 결함이 프로세스 부가물을 만들거나 실행을 중지시키는 것과 같은 프로세스 실행중의 조정이 필요하다고 지시하는지 아닌지를 결정하는데 사용된다. 결론적으로, 제품 및 프로세스 제어 기술들은 웨이퍼 제조를 통하여 광범위하게 사용된다. 가능할때, 수율 문제들은 구체적인 제품 또는 프로세스 문제들 또는 결함들을 거꾸로 추적하여 궁극적으로 웨이퍼 제조의 수율을 개선시킨다. 높은 수율은 각 프로세스된 웨이퍼를 위한 제조 비용을 최소화 시키고, 재작업 또는 처분은 최소화시키는 반면, 전기 전력, 화학물 및 물과 같은 자원들의 활용을 최대화시키는데 있어 바람직하다.
적절한 웨이퍼 제조 제어 제한점을 규정하고 이 제한점내에서 프로세스를 유지시키기 위해 SPC (statistical process control) 및 SQC (statistical quality control) 방법들을 사용하는 것은 공지되어 있고, 이는 예를 들어 R. Zorich 의 Academic Press Inc., pp. 464-498, 1991 의 Handbook Of Quality Integrated Circuit Manufacturing 에서 개시된다. 웨이퍼 제조에 적절한 SPC 및 SQC 방법들은 제어 차트들의 사용을 포함하는데, 이는 예를 들어 R. Zorich 등의 출판물 pp. 475-498 에 개시된다. 제어 차트는 체임버 압력과 같은 하나 이상의 선택된 프로세스 또는 제품 변수들의 도식적인 표현이며, 이들은 여러 회에 걸쳐 샘플된 것이라는 것은 당업자에 있어 잘 알려진 사실이다. 특정한 변수의 목표값 및 그의 제어 상한점 및 하한점은 그 차트에 표시되고, 잘알려진 통계적인 샘플링 및 계산 방법들을 사용한다. 상기 프로세스는 관찰된 변수들의 값이 가변적일때 제어가 불가능하고, 또는 여러번 관찰된 값들의 평균과 같은 통계적으로 유도된 값은 미리 규정된 제어 제한점의 밖의 문제이다. 제어 제한점들은 전형적으로, 예를 들어 2σ또는 3σ와 같은, 목표값의 평균의 표준 편차의 배수로 설정된다. 목표값은 수율, 프로세스 제어 및 제품의 품질과 같은 웨이퍼 제조 설계 사양과 맞는 테스트 실행 또는 생산 실행으로부터 유도된다. SPC 및 SQC 는 상술한 바와 같이 사용될때는 서로 동의어로 간주되고, 이는 R. Zorich 등의 출판물 pp. 464 에 개시된다.
따라서, 프로세스 제어, 품질, 수율 및 비용 절감을 최적화시키기 위하여 반도체 제조 기술의 향상된 컴퓨터 수행 집적화가 제공되는 방법 및 기술의 존재가 요구된다. 또한, 프로세스 또는 장비가 과도한 소프트웨어 프로그래밍 없이도 변경되는데 도움을 주는 컴퓨터 집적 제조 시스템을 통한 중앙 집중화된 웨이퍼 제조 관리 및 제어의 필요성이 존재한다.
본 발명은 컴퓨터 집적 제조, 특히 반도체 웨이퍼들과 같은 제조 집적 회로 구조물을 위한 신규 기술을 제공한다. 이 신규 기술은 컴퓨터 집적기술에서 필요시되는 향상된 특징을 제공한다.
본 발명의 하나의 실시예로서, 개발 및 통합, 설치 및 관리, 공장 모델링, 제조 계획, 제조 제어, 모니터링 및 트래킹을 위한 주기 활동들 (lifecycle activitiis), 및 제조 결과들을 분석하기 위한 주기 활동용 SW 를 포함하는 신규한 공장 자동화 주기를 제공한다. 제조 결과의 분석 주기 활동으로부터의 출력은 공장 모델링 주기 활동과 같은 다른 주기 활동들로의 입력을 제공할 수 있다. 프레임워크 구성요소들은 다양한 주기 활동들에 관련되어 있다.
본 발명의 또다른 실시예로서, 프레임워크 소프트웨어 구성요소들, 애플리케이션 소프트웨어 구성요소들 및 소프트웨어 빌딩 블록들의 활용을 포함하는 프로세스 시스템의 관리를 위한 신규한 방법이 제공된다. 프레임워크 구성요소들이 애플리케이션 구성요소들을 관리하기 위하여 명령수단들을 제공하는 반면에, 애플리케이션 구성요소들은 시스템을 관리하기 위한 명령수단들을 제공한다. 빌딩 블록들은 프레임워크 및 애플리케이션 구성요소들을 형성 또는 수정하도록 구성된다. 공장 자동화 주기는 프레임워크 구성요소들을 포함한다. 신규한 도구 통합 구성요소가 명령수단들을 시스템의 도구의 프로세스와 통신시키는 신규한 방법에 의해 사용된다. 도구 통합 구성요소는 도구 인터페이스 프로그램 및 도구 통합 구성요소 어댑터를 포함한다. 시스템을 관리하기 위한 명령수단들은 입력 데이터에 의해 수정될 수 있다.
본 발명의 또다른 실시예로서, 프로세스를 위한 사양들을 결정하고 프레임워크 구성요소들, 애플리케이션 구성요소들 및 SW 빌딩 블록들을 포함하는 신규한 분산형 공장 시스템 프레임워크에 의해 프로세스를 관리하는 것을 포함한는 제품을 프로세스하는 신규한 방법을 제공한다. 신규한 분산형 공장 시스템은 만약 필요하다면, 데이터를 입력하는 것에 의해 수정될 수 있다. 이러한 명령수단들은, 예를 들어 도구 통합 구성요소를 활용하는 것에 의하여 제품의 제조를 위한 프로세스와 통신한다. 그러면 상기 명령수단들은, 예를 들어 집적 회로 구조물들을 제작하는 것을 위하여 프로세스중에 수행된다.
본 발명의 또다른 실시예로서, 웨이퍼 제조 공정을 시작하기 위한 신규한 방법은 프로세스 단계들의 순서를 규정하고 시각적인 작업흐름 구성요소에서의 이러한 순서를 규정하는 작업흐름을 연속적으로 형성하는 것을 포함한다. 이 시각적인 작업흐름 구성요소에는 프레임워크 구성요소들 및 애플리케이션 구성요소들을 포함하는 신규한 분산형 공장 시스템 프레임워크가 포함된다. 그러면, 진행중인 작업 관리 구성요소 또는 GUI 에서의 작업에 의해 공정을 시작하는 시각적인 작업흐름 구성요소가 요구된다.
본 발명의 또다른 실시예로서, 제품 프로세스 장비, 중앙 처리 장치, 프로세스 장비와 중앙 처리 장치를 동작적으로 접속시키는 접속부, 디지털로 코딩된 데이터 구조들을 저장하는 메모리 및 신규한 공장 자동화 주기를 포함하는 데이터 구조들을 포함하는 장치를 제공한다. 본 발명은 또한 애플리케이션 구성요소들 및 빌딩 블록 구성요소들을 포함하는 데이터 구조들을 제공한다.
본 발명의 또다른 실시예로서, 분산형 공장 시스템 프레임워크가 프로세스 시스템을 관리하기 위하여 제공되며, 이 분산형 공장 시스템 프레임워크는 프레임워크 구성요소들을 포함하는 디지털로 코딩된 제 1 데이터 구조, 애플리케이션 구성요소들을 포함하는 제 2 데이터 구조 및 디지털로 코딩된 명령수단들과 프로세스 시스템을 통신시키는 접속부를 포함한다.
본 발명의 또다른 실시예로서, 프로세스 장비 및 프로세스 시스템을 관리하기 위한 신규한 분산형 공장 시스템 프레임워크를 포함하는 신규한 장비가 제공된다.
본 발명의 또다른 실시예로서, 신규한 공장 자동화 주기 활동 데이터 구조들, 프레임워크 구성요소 데이터 구조들, 애플리케이션 구성요소 데이터 구조들 및 빌딩 블록 데이터 구조들을 포함하는 신규한 데이터 저장 장치들이 제공된다.
본 발명 및 그의 실시예를 기재하는 동안, 명료함을 위해 소정의 용어가 사용될 것이다. 상기 용어는 모든 균등물들은 물론 인용된 실시예를 포함하도록 의도된 것이다.
본 발명의 하나의 실시예로서 DFS/F (distributed factory system framework) SW (software) 환경이, IC (integrated circuit) 구조들과 같은, 반도체 구조들을 프로세스 또는 제조하기 위한 웨이퍼 제조와 같은, 시스템 또는 설비를 프로세스 또는 제조하는데 나타날 수 있는, 장비 단계들, 규정 단계들 및 데이터 단계들을 포함하는, 공장 MES (manufacturing execution system(s)) 를 자동화시키고, 통합하고 조정하는데 제공된다. 여기에서 정의되는 표현 "FW" (framework) 는 기능 또는 서비스들의 한 세트를 제공하는 접속된 SW 구조들, 구성요소들 또는 종류들의 집합을 포함한다. 여기에서 정의되는 표현 "MES" 는, 관련된 업무들의 프로세스를 시작하고, 진행중인 일을 관리 및/또는 제어하며, 프로세스/제조/업무의 제작의 수행을 위한 재료, 장비, 정보 및 기록 데이터와 같은 자원들의 사용을 돕고, 선택적으로 테스트 및 데이터 수집 업무를 포함하는, SW 데이터 구조들의 집합을 포함한다. 여기에서 정의되는 표현 "IC 구조들" 은 완전히 형성된 IC들 및 부분적으로 형성된 IC들을 포함한다.
본 발명의 DFS/F 는 도 6 에 도시된, 신규한 FALC (factory automation lifecycle) 를 포함하고, 통합 공장 자동화 MES 를 위한 전체 구조를 형성한다. FALC (200) 는 프로세스, 제조 또는 시스템의 제작 또는 설비와 관련된 제조적인 다양한 면을 통합시키고, 자동화시키고, 관리하거나 제어하여, 공장 모델을 형성한다. 이러한 면들은 웨이퍼 제조 도구들과 같은 웨이퍼 제조 관련 장비, 웨이퍼 제조 프로세스 절차와 같은 방법, 웨이퍼 제조 프로세스 가스들과 같은 재료들, 제조중인 웨이퍼 재고 제어와 같은 재고 제어, SPC (statistical process control) 과 같은 프로세스 상태 규정 작업, 제조중인 테스트 데이터의 평가, 장비 기능들의 모니터링 및 품질 관리등을 포함한다. 상기 신규한 주기는 부가적으로 상이한 도구, 장비 또는 소프트웨어 공급자들로부터의 도구, 장비 또는 소프트웨어의 통합을 촉진하여, 여러 도구들이 통합된 조화된 제조 또는 제작 설비를 제공한다.
도 6 에 도시된, 신규한 FALC (200) 는 SW 개발 및 통합 주기 활동 (210), 설치 및 관리 주기 활동 (220), 공장 모델링 주기 활동 (230), 제조 계획 주기 활동 (240), 제조 제어, 모니터링 및 트래킹 주기 활동 (250) 및 제조 결과의 분석 주기 활동 (260) 을 포함한다. 도 6 에 개략적으로 도시된 바와 같이, 제조 결과의 분석 주기 활동 (260) 으로부터의 선택된 출력은, SW 개발 및 통합 주기 활동 (210), 공장 모델링 주기 활동 (230) 및 제조 계획 주기 활동 (240) 과 같은 상기 주기의 다른 주기 활동들로의 피드백을 제공할 수 있다. 이러한 주기 활동들 사이의 출력 및 입력 상호작용들이 제조 결과를 분석하기 위한 주기 활동 (260) 의 기재와 접속되어 보다 상세하게 기재될 것이다.
본 발명의 FALC (200) 의 다양한 주기 활동들은 SW를 포함한다. 하드웨어 부분들, 장비 또는 조립물들이 SW를 지원, 동작 또는 활용하는데 필요하고, 이 SW 는 FALC (200) 의 6개의 주기 활동들의 기능을 제공한다. FALC (200) 소프트웨어는 FW SW 구성요소들을 포함한다. FW 구성요소들은 보통의 시스템 또는 공장 실행 규칙들 및 서비스들을 규정하고, 그것들은 프로세스 기능들 또는 시스템들을 관리/제어하는 애플리케이션 SW 구성요소들로 서비스들을 제공하는데 사용되고, 내장형 웨이퍼 제조 도구 제어기들과 같은 프로세스 장비의 제어 시스템과의 상호작용을 통하여, 예를 들어 제조 설비들 및 웨이퍼 제조 도구들의 다양한 조합들을 포함하는 공장들이 된다. 애플리케이션 구성요소들은 웨이퍼 제조 노하우와 같은 프로세스 및 프로세스 장비들의 특정한 요구사항들에 맞게 구성된다. 다시 말해, 동일한 프로세스 조건들을 사용하는 동일한 장비에서의 제품의 동일한 유형을 실행하는 프로세스의 반복은 애플리케이션 구성요소들의 수정을 요하지 않는다. 그러나, 재료들, 제품들, 장비 또는 프로세스 조건들의 변화는 전형적으로 하나 이상의 애플리케이션 구성요소들의 데이터의 수정을 요한다. 예를 들어, 프로세스 조건의 변화는 하나 이상의 애플리케이션 구성요소들에 있어서 대응하는 변화를 요하여, 장비로의 변화된 명령들을 제공한다. FW 구성요소들은 사용자가 하나 이상의 애플리케이션 구성요소들을 수정하여 신규한 DFS/F 를 새로운 프로세스 조건에 맞추거나 또는 상이한 재료 또는 도구에 맞추는 것을 가능케 하는 서비스들을 제공한다. FALC (200) 와 같은 신규한 FALC 는 데이터 입력을 통하여 새로운 프로세스 조건들, 재료들 또는 장비에 적용될 수 있는데, 이 데이터 입력은 실질적으로 상이한 프로세스 시스템의 변화를 요구하지 않는다. 예를 들어, 웨이퍼 제조 FALC 의 여러 애플리케이션 구성요소들의 SW 코드는, 약품 생산 가마 제조 프로세스를 위한 FALC 의 대응하는 구성요소들의 SW 코드와는 다르다. 신규한 DFS/F 의 애플리케이션 구성요소들은, TIC (tool integration component) 와 접속되어 보다 완전하게 기재되는 프로토콜들 및 인터페이스들을 통하여 개별적 웨이퍼 제조 도구들과 같은 장비들과 통신한다.
FW 및 애플리케이션 SW 소자들이 구성요소들로서 언급되어지는데, 이는 그들이 그들 각각의 고유의 데이터베이스, 서버 및 표준 GUI를 갖는 별도의 SW 실재들이기 때문이다. 상기 구성요소들은 DCOM (MICROSOFT
Figure 112000015952916-pat00001
-Microsoft is a registered trademark of Microsoft Corporation, Redmond, Washington-distribute common object model) APIS (application programming interface) 또는 CORBA (common object request broker architecture) 와 같은 통신 표준들의 공용 세트를 통해 서로 동작한다. SW 공통 제조 블록들이 DFS/F 에 제공되어 새로운 FW 및 애플리케이션 구성요소들의 창출을 촉진하고, 현존하는 FW 및 애플리케이션 구성요소들을 수정한다. 이러한 제조 블록들은 전형적으로 GUI (graphical user interface), 서버 및 DB (database) 소자들을 포함한다. 전형적으로, DSF/F 및 FALC (200) 구성요소들 및 SW 제조 블록들은 데이터 처리 또는 하나 이상의 컴퓨터들을 위하여 하나 이상의 중앙 처리 장치들에 의해 프로세스된다. 본 발명의 실시예들을 위한 적합한 중앙 처리 장치들 및 컴퓨터들은 당업자에게 있어 잘 알려진 것이다.
도 6 에 도시된 바와 같은, FALC (200) 의 6개의 주기 활동들은 다음의 기능들을 포함한다. SW 개발 및 통합 주기 활동 (210) 은 공장 대상물들 및 서버들을 위한 공통의 구조를 규정하는데 구성된다. 이 공통의 구조는 DFS/F 콤플라이언트 (compliant) 애플리케이션들의 형성을 단순화시킨다. 설치 및 관리 주기 활동 (220) 은 MES 애플리케이션들을 설치한다. MES 애플리케이션들을 다른 애플리케이션들의 케이퍼빌러티 (capability) 들과 통합시킬 수 있는 DFS/F 를 갖는 MES 애플리케이션들의 케이퍼빌러티들을 등록시키는 것이 필요하다. 주기 활동 (220) 은 또한 공장의 MES를 포함하는 소프트웨어를 모니터링하고 제어한다. 부가적으로, 주기 활동 (220) 은 MES 케이퍼빌러터들로의 접근을 조절하고, 공통 보안 서비스를 제공한다. 공장 모델링 주기 활동 (230) 은 애플리케이션들에 관련된 대량 생산에서의 일관성있는 공장 모델의 형성을 조화시키기 위하여 구성된다. 예를 들어, 신규한 제품의 도입은 전형적으로, WIP (work in progress) 애플리케이션 및 계획 애플리케이션 둘 모두에서 제품의 첨가와 같은, 대량 애플리케이션에서의 변화를 요한다. 주기 활동 (230) 은 또한, 예를 들어 WIP 애플리케이션 및 장비 애플리케이션이 함께 동작하여 올바른 장비가 소정의 제품의 제조에 사용되게 하기 위한, 대량 애플리케이션들이 함께 동작하는 방식을 규정하도록 구성된다. 일단 공장의 MES 기능들이 공장 모델링 관련 SW 에 의해 구축되면, 공장 모델링 주기 활동 (230) 의 SW 는 제조의 계획, 제어 및 트래킹을 위해 구성된다.
도 6 에 도시되는 제조 계획 주기 활동 (240) 은 대량 애플리케이션들의 상태 정보를 수집하여, 애플리케이션 구성요소들의 계획을 제공한다. 게다가, 주기 활동 (240) 은 제조 계획/스케쥴을 공장 자원들을 관리하는 애플리케이션 구성요소들로 개발하고 배포한다. 제조 제어, 모니터링 및 트래킹 주기 활동 (250) 은 계획/스케쥴의 제조의 수행에서 애플리케이션 구성요소들의 제조 기능과의 조화를 제공하여, 공장 모델에서 규정되는 단계들에 따르는 제품을 생산한다. 제조 결과들의 분석 주기 활동 (260) 은 애플리케이션 구성요소들로부터 분석을 위한 정보를 결합시키도록 구성된다. 주기 활동 (260) 은 상이한 애플리케이션 구성요소들에서의 데이터를 데이터 분석과 상관시키고, 특정한 공장 이벤트들을 규정하고, 탐지하며 그에 응답한다. 이 주기 활동은 실제적인 생산과 계획된 생산을 비교하고, 갱신된 계획이 SW 개발 및 통합 주기 활동 (210), 공장 모델링 주기 활동 (230) 및/또는 제조 계획 주기 활동 (240) 으로의 입력들을 통해 언제 필요로 되는지를 나타내기 위하여 구성된다. 도 6 에 도시된 바와 같이, 주기 활동 (260) 으로부터 이러한 주기 활동들로의 피드백 루프들이 다음과 같이 FALC (200) 피드백 사이클을 형성한다. 주기 활동 (260) 으로부터 주기 활동 (210) 으로의 피드백은 SW 개발 사이클 (270) 을 형성하는 한편, 주기 활동 (260) 으로부터 주기 활동 (230) 으로의 피드백은 모델링 사이클 (280) 을 형성한다. 수행 사이클 (290) 이 주기 활동 (260) 으로부터 주기 활동 (240) 으로의 피드백 루프 상에 형성된다. 비록 신규한 FALC (200) 의 6개의 주기 활동들이 순차적인 방식으로 묘사되고 기재되지만, 각 주기 활동들에 관련되는 SW 는 전형적으로 하나 이상의 다른 주기 활동들과 동시에 진행할 수 있다는 것이 명백하다.
상기 기재된 본 발명의 FALC (200) 는 신규한 DFS/F 의 하나의 태양이다. DFS/F 의 다른 두 태양들은 시스템 레이어 (layer) 들 및 N 티어 (tier) 들로 정의된다. 신규한 DFS/F 의 시스템 레이어들 태양은 표 1 에서 기재된다.
표 1
DFS/F 시스템 레이어들
1. 기반 기술:
이는 메세징 (messaging), 도식적인 사용자 인터페이스 (GUI: Graphical user interface) 구조, 예를 들어 서버 구조를 위한 MTS (Microsoft transaction manager) 및 일관성을 위한 관계된 데이터베이스의 매핑 (mapping) 대상물들과 같은 DFS/F 를 위한 기초 기술 빌딩 블록들을 포함한다.
2. 공통 빌딩 블록들:
이는 사용자-규정 특징, 버전잉 (versioning), 히스토리 및 분류 계획들과 같은 공통의 아이템들을 포함한다. 전형적인 공통 빌딩 블록들은 표 2 에 목록화되고 기재된다.
3. 프레임워크 구성요소들:
FW 구성요소들은 공장 모델의 빌딩 (building) 프로세스, 모델에 따르는 제품 제조를 통한 공장 시스템의 전체 동작을 관리하고, 결과를 축적하여 향상의 필요를 규정한다. FALC (200) 는 이러한 구성요소들을 포함한다. 전형적이 FW 구성요소들은 표 3 에서 목록화되고 기재된다.
4. 애플리케이션 구성요소들:
이러한 구성요소들은 재료 관리와 같은 공장 자원 관리 기능, 도구들과 VFEI (virtual factory equipment interface) 레벨 통신하는 장비 관리 및 도구 통합을 포함한다. 전형적인 애플리케이션 구성요소들은 표 4 에서 목록화되고 기재된다.
신규한 DFS/F 의 공통 빌딩 블록들은 전형적으로 FW 및 애플리케이션 구성요소들을 형성하고 수정하는데 사용된다. 대표적인 빌딩 블록들이 표 2 에 도시된다.
표 2
공통 빌딩 블록들
1. 서버 API (application programming interface) 와 공장 대상물 인스턴스 (factory object instance) 사이의 인터페이스를 포함하는 서버 구조 빌딩 블록 (server construction building block).
2. SQL/ODBC (structure query language/open DB connection) 매핑을 발생하기 위한 내구성 빌딩 블록 (persistence building block).
3. GUI들의 구성을 위한 공통의 제어들을 제공하는 DFS/F 공통 GUI 제어 빌딩 블록 (DFS/F common GUI controls building block).
4. 동기 DCOM 메세지와는 다른 서브스크라이브 (subscribe) 메세지를 공개하기위한 공개 및 서브스크라이브 메세지 빌딩 블록 (publish and subscribe building block).
5. DFS/F 구성요소들에 의해 제공되는 서비스들을 발견하기 위해 FW 구성요소들에 의해 사용되는 동적 API 발견 빌딩 블록 (dynamic API discovery building block).
6. DFS/F 구성요소들을 통하여 대상물들을 접속시키는 연합 빌딩 블록 (association building block). 대표적인 활용으로는 모델링 데이터를 "어디에 사용되는가" 라는 질문에 대한 대답에 접속시키고, 질적인 데이터와 같은 MES 수행 정보를 장비 히스토리에 접속시키는 것을 포함한다.
7. 공장 이벤트들의 히스토리를 저장하고 복구하는 통상적인 서비스를 제공하는 히스토리 빌딩 블록 (history building block).
8. 다른 서비스들과 목표 컴퓨터 데스크탑 사이의 접속을 통해 수행되는 (1)동기 서비스들, (2)완성 회수 (completion callback) 프로토콜 및 (3)GUI 기반 서비스들을 포함하는 상이한 유형들의 DFS/F 서비스들을 수행하는 일반 서비스 수행자 빌딩 블록 (generic service executor building block).
9. 질문 및 분석을 위한 공장 대상물들을 분류하는 통상적인 서비스를 제공하는 분류 빌딩 블록 (classifications building block).
10. 대상물 모델들에 기반한 DFS/F 구성요소를 확장하기 위한 고객 규정 특성 빌딩 블록 (customer defined attributes building block). DFS/F 는 전형적으로 사용자의 요구에 기초한 모델의 확장을 지원하는 사용자 확장가능 기여 모델들을 제공한다.
11. 장비, 재료 및 ECN (engineering change notice) 의 트래킹 상태 같은 상태 모델들을 규정하고 동작시키는 서비스를 포함하는 상태 모델 빌딩 블록 (state models building block). 상태 모델 빌딩 블록은 클러스터 도구의 다양한 체임버들의 계획되지 않은 고장시간을 트래킹하는 것과 같이 규정될 수 있다.
12. DFS/F 데이터 모델들내의 관리 영역들을 규정하는 네임스페이스 빌딩 블록 (namespace building block).
13. 스케쥴링을 지원하고 각 공장 대상물을 위한 케이퍼빌러티를 추가하여 장래의 이벤트들을 보여주는 메모장 및 특별한 스케쥴이 어떻게 따르는지를 지시하는 히스토리 향상을 갖는 스케쥴/메모장 빌딩 블록 (schedule/datebook building block).
14. 공장 대상물들 중에서 공유될 수 있는 기초 정의들을 규정하는 서비스들을 제공하는 템플리트 빌딩 블록 (template building block). 예를 들어, 이 빌딩 블록은 모든 메모리 제품들에 해당되는 공통의 특성들을 규정하는데 사용될 수 있다.
15. 예를 들어 특정 제품의 규정에서의 시간에 따른 변화들을 관리 및 트래킹하는 것과 같은 공장 대상물의 시간에 따른 변화를 관리 및 트래킹하는 서비스들을 포함하는 변경된 대상물 빌딩 블록 (versioned objects building block).
16. 재료 로트 (lot) 히스토리와 같은 공장 대상물들 사이의 관계를 보여주는 네비게이션 빌딩 블록 (navigation building block).
신규한 FALC (200) 에서 활용되는 FW 구성요소들은 FALC (200) 의 단계들을 통해 표 4 에 도시되는 애플리케이션 구성요소들에 의해 활용되는 보통의 규칙들 및 서비스들을 규정한다. 적절한 FW 구성요소들의 예들이 표 3 에서 제공된다.
표 3
프레임워크 구성요소들
1. SC (security component; 보안 구성요소) 가 (1)사용자의 역할을 규정, (2)사용자들에게 역할들을 할당 및 (3)DFS/F 대상물들에 대한 접근 및 역할에 의한 방법들을 규정하는 3개의 보안 모드들을 갖는 기초 보안을 제공한다.
2. GCC (GUI console component; GUI 콘솔 구성요소) 는 네비게이션을 지원하고 DFS/F GUI들 사이의 데이터를 보여주는 컨테이너 (container) 이다. 관습 (custom) 및/또는 제 3 자 (third party) 애플리케이션들이 콘솔 툴바 (toolbar) 에 추가될 수 있다. 관습 환경은 GUI들 및 공장 대상물들을 추가함에 의해 창출될 수 있다. 콘솔은 풀스크린, 케스케이드, 타일 및 아이콘들 같은 트랜스액 션 뷰 (transaction view) 를 포함할 수 있다. GUI 콘솔 네비게이터는 DFS/F 공장 대상물들을 브라우징하고 선택하는 것을 허용하고 서버 공급 검색 표준에 기초한 검색 능력을 갖는다. 예를 들어, GUI 콘솔 네비게이터는 액티브X GUI들 사이의 네비게이션 및 데이터 공유를 제공한다.
3. 구성요소들의 시스템 사용의 트래킹 및 조정을 위한 PLMC (perfomance & license management component; 성능 및 라이센스 관리 구성요소).
4. SMC (saga management component; 사가 관리 구성요소) 는 유닛으로서 다루어져야 하는 "장기간 실행" 상호작용들을 위한 지원을 제공하나 표준 DB 로킹 기술에 의존하는 너무 긴 시간을 소요한다.
5. CRC (context resolution component; 콘텍스트 해결 구성요소) 는 콘텍스트를 결과에 접속시키고, 사용자들이 어떻게 자원의 선택을 이루는지를 유연하게 모델링하게 함으로써 적절한 명령들이 임의의 자원으로 전달되는 것을 보증함에 의해 MES 수행에 도움을 준다.
6. CMC (configuration management component; 배치 관리 구성요소) 는 구성요소들을 통하는 공장 모델 변화의 관리를 제공한다.
7. CC (calendar component; 일정 구성요소) 는 스케쥴링 및 보고를 위한 일정 및 시프트 규정을 제공한다.
8. VWC (visual workflow component; 시각적인 작업흐름 구성요소) 는 제조 프로세스를 규정하고 수행하며, 소정의 비지니스 프로세스를 수행하는 능력이 있다. VWC 는 DES/F 서비스들의 배합으로부터 서비스 개시의 순서/네트워크로서 도식적으로 비지니스 프로세스를 규정한다. 다른 DFS/F 구성요소들은 프로세스 정의를 위한 VWC를 활용한다. 예를 들어, WIP 관리 구성요소는 VWC 서비스들을 사용하여 제품들이 어떻게 생산되고 사용되어 재료 로트들의 프로세스를 수행하는지를 결정한다. VWC 프로세스 정의 능력은 서비스 개시들 및 제어 구조들 사이의 데이터의 교환을 포함하여 소정의 비지니스 프로세스를 통한 경로를 규정/선택한다. VWC 는 비지니스 프로세스들을 자율적으로 수행하고, 즉 다른 SW 구성요소들과 독립적으로 기능하며, 사용자 입력들은 물론 자동화된 입력들에 응답하는 능력이 있다.
9. RCC (resource coordination component; 자원 조정 구성요소) 는 디스패치 상태들에서 유용한 활성 자원들을 갖는 책임을 지닌다. 자원들을 BRC 를 사용하는 공통 일(job)들/뱃치(batch)들에 매치시킨다. BRC 와 함께, RCC 는 활성 및 비활성 자원들의 회합을 조화시킨다.
10. EVMC (event monitor component; 이벤트 모니터링 구성요소) 는 DFS/F 서비스들에 의해 공개된 이벤트들을 모니터하고 승낙시킨다. DFS/F 서비스는 모니터된 이벤트가 발생할때 (VWC 일의 개시를 포함하여) 수행될 수 있다. EVMC 는 공장 모니터링의 창출을 통하여 주의깊은 제조를 지원한다.
11. BRC (bill of resources component; 자원들의 요금계산 구성요소) 는 다종의 자원들의 조화된 행동을 수반하는 뱃치 (batch) 프로세스와 같은 뱃치 프로세스를 개시하는데 필요한 다종의 DFS/F 구성요소들을 통하여 자원들을 수립한다.
12. DMC (data manager component; 데이터 관리자 구성요소) 는 보고 및 분 석을 위한 FW 구성요소들 및 애플리케이션 구성요소들로부터의 데이터를 통합시킨다. 이는 DW (data warehouse) 기술에 기초 두었고 샘플의 DW 도식 및 보고를 제공할 수 있다. DMC 는 구조되지 않은 데이터 분석을 위해 DB들에 접근할 수 있다.
애플리케이션 구성요소들은 제조 장비로 MES 명령들을 제공하여, 특별한 도구들 및 프로세스들을 관리 및 제어한다. 적절한 애플리케이션 구성요소들의 예가 표 4 에 기재된다.
표 4
애플리케이션 구성요소들
1. QMC (quality management component; 품질 관리 구성요소) 는 품질 분석 및 유동 데이터 수집을 제공한다. 소정의 비지니스 규칙들에 따르는 것을 보증하기 위한 올바른 제조 전략을 규정할 수 있다.
2. TIC (tool integration component; 도구 통합 구성요소) 는 DFS/F 와 다양한 장비 유형들 사이에서 양방향 통신들을 제공한다. TIC 는 SECS (SEMI - Semiconductor Equipment and Materials International - Equipment Communication Standard), GEM (generic equipment model) 및 VFEI (virtual factory equipment interface) 와 같은 도구 프로토콜들을 통한 통신에 적용된다. SECS, GEM 및 VFEI 는 당업자에게 잘 알려진 도구 프로토콜들이다.
3. EMC (equipment management component; 장비 관리 구성요소) 는 클러스터 도구들에서 체임버에서의 독립적인 도구들을 트래킹하는 새로운 계층 모델을 사용 하여, SEMI E10 상태들에서 상이한 장비 상태들을 해결한다.
4. RMC (recipe management component; 레서피 관리 구성요소) 는 레서피들의 규정, 선택 및 배포를 제조 도구들과 같은 장비로 제공한다.
5. 프로세스 및 유지를 포함하는 공장 업무의 스케쥴링 및 디스패치를 위한 DSC (dispatching and scheduling component; 디스패치 및 스케쥴링 구성요소).
6. 장비를 조정하는 재료들을 갖는 인터페이스를 위한 MHC (material handling component; 재료 처리 구성요소).
7. WMC (WIP - work in progress - management component; 진행중인 작업 구성요소) 가 제공되어 웨이퍼들, 로트들, 뱃치들 및 캐리어의 트래킹을 지원하고, 예를 들어 "if, then" 과 같은 순방향의 비지니스 규정들을 지원한다. WIP 는 클러스터 도구 가시도 (visibility) 를 제공하고, 그럼으로써 MES 를 클러스터 도구 내부의 웨이퍼 로트들로 제공한다.
8. 존재하는 공장 소프트웨어에 접근하는 구성요소인 레가시 시스템 인터페이스 (legacy system interface).
본 발명의 DFS/F 의 제 3 태양은 FW 구성요소들, 애플리케이션 구성요소들 및 공통 빌딩 블록들에 나타날 수 있는 다양한 티어 (tier) 들로 이루어진다. 예를 들어, 이는 다음의 3 개의 티어 태양들이 될 수 있다. 제 1 티어는 예를 들어 VB (virtual Basic) 와 같은 시각적인 WF 일들, GUI 들 및 고객 프로그램들과 같은 다른 SW 프로그램들 또는 구서요소들로부터의 서비스들을 사용하는 클라이언트 (client) 들을 포함한다. 제 2 티어는 예를 들어 DCOM 과 통신하는 MTS/DCOM 을 사용하는 애플리케이션 또는 FW 서버들을 포함한다. 제 3 티어는 ODB (open database connectivity) 인터페이스를 사용하는 오라클 (Oracle) 과 같은 DB 엔진을 포함한다. 모든 DFS/F 구성요소들은 이러한 3개의 티어들을 사용하고, 반면에 공통 빌딩 블록들은 빌딩 블록의 구조 및 기능에 의존하는 하나 이상의 이러한 티어들에 사용될 수 있다.
FW 구성요소들이 FALC (200) 의 다양한 주기 활동들과 연합된다. 대표적인 예들이 표 5 에 도시된다.
표 5
FALC (200) 주기 활동들과 연합된 FW 구성요소들
FALC (200) 주기 활동 FW 구성요소
220 SC, GCC, PLMC, SMC
230 CRC, CMC, CC
250 VWC, RCC, EVMC, BRC
260 DMC
FALC (200) 와 같은 본 발명의 FALC 의 FW 구성요소들은 서로 상호작용함으로써 함께 작동한다. 예를 들어, 공장 모델이 GCC (GUI console component) (310), CMC (configuration management component) (312) 및 VWC (visual workflow component) (314) 사이에서 메세지들의 교환을 이용하여 도 7 에 도시된 바와 같이 수정될 수 있다. 공장 모델에서의 변화들은 수집되어져 도 7 에 도시된 CMC (312) 와 같은 CMC 에서의 ECN (engineering change notice) 을 형성한다. ECN 이 개방되고 (316) 공장 모델에서의 변화를 수집한다. 결과적인 ECN 데이터 (318) 는 VWC 작업흐름의 수정동안 GCC (310) 에 의해 사용된다. 작업흐름은 GCC (310) 내에 포함된 GUI (322) 를 통해 표시되고 수정된다. 변경된 VWC 작업흐름은 단계 (324) 에서 VWC 로 반환되고, VWC (314) 는 변경된 작업흐름을 단계 (326) 에서 CMC, ECN 으로 추가한다.
신규한 DFS/F 의 임의의 애플리케이션 구성요소도 적절한 FW 구성요소들로부터의 필요로되는 서비스들을 수행함으로써 DFS/F 에 참여할 수 있고, 그럼으로써 도 8 에 개략적으로 도시된 바와 같이 SW 프레임워크의 플러그 (plug) 및 플레이 (play) 유형을 형성하고, 메세지들의 교환을 통해 함께 동작하는 FW 또는 애플리케이션 서버들을 사용한다. 도 8 에 도시된 바와 같이, CMC (410), VWC (412), DMC (414), EMC (416), GCC (418) 및 SC (419) 를 위한 FW 구성요소 서버들을 사용하는 FW 구성요소들은 공통 서비스 프로토콜들을 사용하여 EMC (420), WMC (422), DSC (424), QMC (426), TIC (428) 및 게이트웨이 구성요소 (429) 를 위한 애플리케이션 구성요소 서버들을 사용하는 애플리케이션 구성요소들로 서비스들을 제공한다. 이러한 서비스들은 예를 들어 DCOM 통신들을 사용하는 공통 서비스 프로토콜들 (430) 을 통해 통신한다. 본 발명의 이러한 SW 기술은 사용자가 코딩 (coding) 보다는 데이터 입력들을 통해 프로세스 및 장비 MES 명령들을 수정하고, 그럼으로써 특별한 기술들을 요하는 프로그램밍의 변화들에 소모되는 시간의 필요를 제거한다. 애플리케이션 구성요소들은 장비를 조정하는 웨이퍼 제조 도구들 및 재료들과 같은 장비의 집적화된 부분들과의 효율적인 통신들을 요하여, MES 명 령들을 수행하여 웨이퍼 제조 도구들 및/또는 다른 장비를 제어한다. 전형적인 제어기들은 마이크로 프로세서들, 온-보드 (on-board) 컴퓨터들, 컴퓨터 동작 소프트웨어 및 기계적/전기적 제어기들, 또는 가변 저항기를 사용하는 스위치들, 전기적인 회로들 및 전위차계와 같은 것들을 포함한다.
본 발명의 DFS/F 는 신규한 TIC 애플리케이션 구서용소 (표 4) 를 포함하여, 기계를 제어하기 위해 VWC (표 3) 작업흐름에서 수집될 수 있는 기초 장비 제어 빌딩 블록을 제공함으로써 다양한 DFS/F 구성요소들과 웨이퍼 도구들 같은 장비 사이의 통신들을 돕는다. TIC 는 VFEI 메세지들의 송신 및 수신 또는 장비로/로부터의 명령들을 포함하는 서비스들을 제공한다. 이러한 명령들 또는 메세지들의 연속은 도구를 제어하는 명령들과 같은 비지니스 로직을 나타낸다. 이러한 연속의 유형은 VWC 작업흐름에서 정의될 수 있다. TIC 는 명령들 또는 메세지들을 도구로 보내고, 도구로부터 메세지들 또는 정보를 수신하고, 수신된 메세지들 또는 정보를 다른 DFS/F 구성요소들과 통신하는데 사용되는 빌딩 블록들을 제공한다. TIC 는 DFS/F 와 웨이퍼 제조 도구들과 같은 장비 사이에서 통신 접속부의 부분이 된다.
본 발명의 TIC 는 신규한 TIP (tool interface program) 의 조합 및 신규한 TIC 어댑터로 이루어진다. TIP 에는 각 기계 또는 도구 유형이 제공되어 기계의 (SECS와 같은) 인터페이스로의 VFEI 명령들 또는 메세지들 및 제어 SW 와 같은 그이 제어들을 해석한다. 신규한 DFS/F 와 통신하는데 적용되는 장비는 장비와 DFS/F 상이에서 매개로서 작용하는 TIP 인스턴스 (instance), 즉 장비 전용의 SW 프로세스를 가질 것이다. 기계를 위한 TIP 인스턴스와 DFS/F 사이의 적절한 통신 프로토콜의 예가 DCOM 프로토콜상의 VFEI 이다. 부가적으로 DCOM 프로토콜상에 신규한 VFEI+ 가 제공되고, 이 VFEI+ 는 관리, 모델링 및 DCOM 의 RPC (remote procedure call) 특성을 위한 향상들을 포함할 것이다. 이 TIP는 여러 컴퓨터들로 배포되고, 예를 들어 이러한 컴퓨터들은 TIP SW 를 사용하는 컴퓨터 집적 웨이퍼 제조 도구들에서 사용된다.
본 발명의 TIC 어댑터는 예를 들어 다른 DFS/F 구성요소들을 허용함으로써 DFS/F 와 TIP들 사이의 매개가 되고, 일반적인 명령들을 통해 도구 능력에 접근하고, 그러면 어댑터는 도구의 특정한 TIP 인스턴스들의 필요들에 적응한다. 이는 측정들을 수집하기 위해 유용한 상이한 도구들을 갖는 웨이퍼 제조에서의 계측 도구를 사용하는 측정들을 수집하기 위한 다음의 예에서 설명된다. 이러한 신규의 기술들은 VWC WF 에서의 상기 수집 프로세스를 맞추는데 적용되고, 프로세스 기술자 또는 엔지니어와 같은 사용자들이 TIC 로 동일한 측정 요청들을 전송하는 것을 가능케 한다. 그러면 TIC 어댑터는 상기 요청을 특정한 도구에 기초한 도구 특정 VFEI 요청들로 해석하고, 동작 시간에 선택된다. 본 발명의 이러한 기술들은 예를 들어 동일한 유형의 데이터를 수집하는 상이한 도구들을 위해 사용되는 단일의 QMC (quality management component) 데이터 수집 계획을 규정하는 능력이 있는데, 이는 TIC 어댑터가 데이터 파라미터 이름들을 QMC 계획에서의 일반적인 이름으로부터 특정한 장비 유형들 또는 도구들에 의해 필요로되는 특정한 이름들로 해석할 수 있기 때문이다.
유리하게, TIC 어댑터는 또한 DFS/F 유형 통신들과 TIP 들 사이에서 프로토콜 변환을 수행할 수 있다. 많은 DFS/F 구성요소들이 MTS 를 사용하여 구성되고 상호작용하고 상태가 없게 계획되기 때문에, 상기의 것은 유용한 기능이 된다. 예를 들어 서비스들을 요청하고 프로세스하는 DFS/F 서버들은, 1초 또는 그 이하의 예측되는 짧은 완료 시간내에 완료될 것으로 기대되는 "동기식 (synchronous)" 서비스 또는 긴 동작 시간을 갖고 LRSP (long running service protocol) 을 사용하는 서비스들 둘 중 하나이다. 여기에 정의된 바로서의 "long running service" 는 예측될 수 없고 따라서 동기 서비스들과 같은 전통적인 서비스들과의 접속에 전형적으로 사용되는 DB 로킹 기술에 적합하지 않은 완료 시간을 갖는 서비스를 포함한다. 상대적으로 짧은 수명의 MTS 연속을 사용하는 MTS 기반 서버에 의해 서비스를 프로세스하고, 더 이상의 기간 상태는 DB 에 저장된다. 서비스 요청들은 DCOM RPC 유형 모델에 기초될 수 있고, 서비스는 요청된 후, 반환된 응답이 따른다. TIC 어댑터는 DFS/F 와 TIP 들 사이에서 매개가 된다.
신규한 TIC 의 예가 도 9 에서 도시되고, 본 발명의 TIC (520) 을 포함하는 신규한 DFS/F (500) 를 도시한다. 본 예에서, 노드들 (A, B 및 C) 을 갖는 분산형 컴퓨터들이 사용된다. DFS/F 구성요소들 (510) 은 TIC (520) 에 의해 제조 도구들 (538 및 548) 과 통신된다. 도구들 (538 및 548) 을 위한 MES 명령들이 구성요소 (510) 에 의해 TIC (520) 의 신규한 TIC 어댑터 (522) 로 보내진다. 예를 들어, 재료의 프로세스를 시작하는 명령들이 VWC 작업흐름과 같은 DFS/F 구성요소 (510) 로부터 TIC (520) 를 통해 도구 (538) 로 통신될 수 있다. TIC 어댑터는 노드 (A) 상의 MTS 서버를 통해 접근된다. 도구 (538) 을 위한 명령들은 TIC 어댑터 (522) 로부터, DCOM (532) 상의 VFEI 프로토콜을 통해, 노드 (B) 상의 TIP 인스턴스 (534) 로 통신된다. 그러면 TIP 인스턴스 (534) 는 도구 (538) 의 SECS 프로토콜 (536) 을 사용하여 이러한 명령들을 도구 (538) 로 통신시킨다. 유사하게, 도구 (548) 을 위한 명령들은 DCOM (542) 상의 VFEI 프로토콜을 통하여 TIC 어댑터 (522) 로부터 노드 (C) 상의 TIP 인스턴스 (544) 로 통신된다. TIP 인스턴스 (544) 는 도구 (548) 의 SECS 프로토콜 (546) 을 사용하여 이러한 명령들을 도구 (548) 로 통신시킨다. 또한 DB (도시되지 않음) 가 제공될 수 있고, 당업자에게 잘 알려진 방법과 기술을 사용하여, TIC 어댑터 (522) 에 의해 접근되어 특정한 TIP들의 네트워크 위치들과 같은 TIC 데이터를 저장하고 복구할 수 있다.
본 발명의 TIC 는 Microsoft DCOM 메세징 기술을 사용하여 메세지들을 본 발명의 DFS/F 구성요소들과 TIC 어댑터 사이 및 TIC 어댑터와 본 발명에 따르는 도구 인터페이스 프로그램 인스턴스들 사이로 보낸다. TIC 어댑터는 표 2 에 기재된 바와 같은 본 발명의 공통 빌딩 블록들을 사용하여 구성된다. 진보성있는 TIC 어댑터는 Microsoft 트랜스액션 (transaction) 서버를 사용하여 구성될 수 있다. 어댑터는 많은 DFS/F 구성요소들 및 많은 TIP 인스턴스들로부터 메세지들을 동시에 관리할 수 있는 능력이 있다. 본 발명의 TIC 어댑터는 지금 진행중인 이벤트 요청들, 즉 도구가 특별한 상태 또는 동작중인 이벤트를 보고해야하는 요청에 관한 TIP 로의 명령들과 같은 정보를 저장하는 능력이 있다. 예를 들어 정보는 당업 자에 있어 잘 알려져 있는 기술들을 사용하는 Oracle 데이터베이스 기술을 사용하여 저장될 수 있다.
도 10 은 VWC WF 에서 규정된 비지니스 프로세스를 수행하는 VWC (610) 으로부터 TIC 어댑터 (612) 로, 다시 TIP 인스턴스 (614) 로의 메세지들의 연속을 도시한다. 이 TIP 인스턴스는 집적 회로 구조물을 제조하기 위한 웨이퍼 제조 도구와 같은 프로세스 장비 (도시되지 않음) 와 접하는 도구 인터페이스 프로그램을 포함한다. VWC 비지니스 프로세스는 명령을 TIC 어댑터 (612) 로 보내고, 예를 들어 웨이퍼 제조 프로세스의 완료를 보고하는 것과 같은 장비 이벤트를 보고하기 위한 요청인 이벤트 셋업을 요청한다. (616) 그러면 이벤트 셋업 요청은 중계되어 (618) TIP 인스턴스 (614) 로 보내지고, VWC (610) 로 연속적으로 승인된다. (620) 그러면 VWC 는 TIC 어댑터 (612) 로부터의 이벤트 셋업 요청에 관련된 이벤트 보고를 요청한다. (622) 이벤트 보고 요청 (622) 은 단계 (624) 에서 승인된다. 요청된 이벤트가 장비상에서 발생할때, 이벤트에 관련된 보고가 TIP 인스턴스 (614) 로부터 TIC 어댑터 (612) 로 통신되고, 진행중인 보고 요청이 있는지를 결정하는 것을 체크한다. 만약 요청 (622) 과 같은 진행중인 보고 요청이 있으면, TIC 어댑터 (612) 는 요청된 보고를 VWC WF 로 이송하고 (628), 이벤트 보고의 TIP 인스턴스 (614) 로의 이송 (630) 을 승인한다. 선택적으로, VWC 비지니스 WF 는 부가적인 이벤트 보고들을 요청하는 루프를 수행할 수 있다. 일단 요청된 보고들이 도구로부터 수신되면, 루프는 단절되고 VWC WF 는 결론적인 명령을 그이 TIP 를 경유하여 TIC 로 보내어, 요청된 이벤트 보고들이 더이상 보내지지 않을 것이라는 도구를 알린다. TIP 인스턴스 (614) 가 장비와 통신하기 위하여 SECS (도시되지 않음) 와 같은 프로토콜을 필요로 한다는 것이 주목되어질 것이다.
상술한 예들에서 도시된 바와 같이, TIC 와 같은 애플리케이션 구성요소들은 VWC (표 3) 와 같은 FW 구성요소들과 통신하고 그를 활용한다. 부가적으로, 특별한 FW 구성요소는 또다른 FW 구성요소와 통신가능하고 그의 서비스들을 활용한다. 예를 들어, 모든 FW 구성요소들은 공통 보안 FW 구성요소를 사용하여 도 8 에 도시된 바와 같이 이러한 FW 구성요소들이 제공하는 서비스들로의 접근을 조절한다.
VWC 의 플러그 및 플레이 능력들은 예를 들어 VWC 가 비지니스 프로세스를 규정하기 위한 기술이 되는 WF 들에 의해 설명되고, 이는 연속적으로 일 (job) 로서 수행된다. WF들은 많은 프로세스 단계들, 장비 단계들, 이동 단계들, 규정 단계들 및 데이터 단계들을 전형적으로 포함하는 반도체 구조들과 같은 제품을 제조하기 위해 규정되는 비지니스 프로세스들의 도식적인 표현들이다. 각 단계들은 WF 에서의 단계로서 규정된다. WF 들은 이동들, 규정들, 품질 활동들 및 장비 명령들을 포함한다. WF 들은 컴퓨터 도식 환경에서 당업자에 잘 알려진 기술인 드랙 앤 드롭 (drag and drop) 기술을 사용하여 만들어진다. 일단 규정되면, WF는 일로서 수행된다. 예를 들어, WF 는 많은 제품의 생산을 수행할 수 있다.
본 발명의 DFS/F 는 다음의 WIP 관리 애플리케이션 구성요소 (표 4) 에 의해, 웨이퍼 제조 실행과 같은 생산을 시작하는데 활용될 수 있다. 프로세스 엔지니어와 같은 사용자는 제품을 만드는데 요구되는 단계들의 연속을 규정하는 VWC 에서 어떻게 특정한 제품이 WF 를 창조함에 의해 만들어지는지를 결정한다. 이러한 연속은 예를 들어 웨이퍼 제조 레시퍼를 포함할 수 있다. 그러면 사용자는 WIP 관리 애플리케이션 구성요소 서비스 또는 GUI 요청 VWC 를 사용함에 의해 로트 (lot) 를 개시하고, 로트를 위한 WF 를 개시한다. 요약하면, WIP 는 프로세스 단계들을 규정하기 위한 서비스로서 VMC 를 사용하고, 실질적인 제품 로트들의 제조/제작을 위해 연속된다.
빌딩 블록들은 공통되는 기능을 신규한 DFS/F 기술들로 제공하여 애플리케이션 및 FW 구성요소들의 구성을 돕는다. 예를 들어, DFS/F 분류 빌딩 블록 (표2) 은 EMC 애플리케이션 구성요소 (표 4) 에 사용되어 장비를 분류할 수 있다. 그것은 또한 WIP 관리 애플리케이션 구성요소 (표 4) 에서 사용되어 제품들을 분류할 수도 있다. 이 빌딩 블록은 3개의 DFS/F 티어 (tier) 들을 포함한다. 제 1 티어는 GCC 내의 DFS/F GUI 를 사용하여 분류 정보를 보고 수정하고 갱신한다. 제 2 티어는 EMC 또는 WMC 서버들과 같은 구성요소 서버에서 제공되는 SW 코드/논리를 포함한다. 이 특별한 SW는 분류들이 어떻게 특정되는지를 결정한다. 예를 들어, 이 SW는 사용자가 에쳐 (etcher) 로서 특별한 웨이퍼 도구를 분류하는 것을 가능케 한다. 분류 빌딩 블록 SW 는 예를 들어 C++ 고유 케이퍼빌러티, 즉 구성요소 서버들로부터 특정한 제품, 프로세스 또는 기계로 자우너들 또는 애트리뷰트 (attribute) 들을 통과시키는 케이퍼빌러티들을 통해 구성요소 서버들에서 사용된다. 제 3 티어는 DB 테이블 규정을 포함하고, 이는 분류 정보를 저장 및 복구하기 위한 구성요소 서버 로직에 의해 사용된다.
디지털로 코드화된 데이터 구조들 또는 신규한 DFS/F 의 정보 또는 그의 구성요소들 및 빌딩 블록들은 컴퓨터 플로피 디스크들, 삭제 가능한 컴퓨터 하드 디스크들, 자기 테이프들 및 광 디스크들과 같은 삭제 가능한 전자적 데이터 저장 미디엄 또는 장치에 저장되어, 상이한 프로세스 위치들에서의 동일한 기술의 사용을 쉽게할 수 있다. 대신으로, 데이터 구조 또는 정보는 당업자에게 잘 알려진 저장 장치들을 사용하여, 도구로부터 멀리 떨어진 장소에 위치되는 미디엄을 포함하는, 삭제불가능한 전자적 데이터 저장 미디엄에 저장될 수 있다. 데이터 구조들 또는 정보는, 서버들로서 통상적으로 잘 알려진 하나 이상의 컴퓨터들을 사용하는 하나 이상의 모뎀들 또는 기술들을 활용하는 유선 접속, 무선 접속 및 데이터 통신 방법들을 사용하여 먼 위치로부터 중앙 데이터 처리 장치 또는 컴퓨터로 통신될 수 있다. 일단 DSF/F 또는 그의 구성요소들 또는 빌딩 블록들이 설치되면, 그들은 통상적으로 당업자에게 잘알려진 데이터 저장 기술들을 사용하여 프로세스 시스템 및 그의 장비에 집적된 데이터 저장 장치 또는 집중 컴퓨터 또는 분산형 컴퓨터 시스템의 메모리에 저장된다.
삭제 가능한 전자적 데이터 저장 미디엄 또는 장치와 같은 메모리에 저장되는, 디지털로 코드화된 데이터 구조들 또는 신규한 DFS/F 의 정보 또는 그의 구성요소들 또는 빌딩 블록들을 포함하는 신규한 제품의 제공이 예상된다. 또한 전자적인 또는 디지털 데이터의 저장을 위한 메모리 또는 데이터 저장 장치, 중앙 처리 장치 또는 컴퓨터를 갖는 신규한 컴퓨터 집적 장치의 제공이 예상되고, DFS/F 또는 그의 구성요소들 또는 빌딩 블록들은 데이터 저장 장치내에 저장된다.
본 발명은 바람직한 실시예의 견지에서 기재되었다. 당업자라면 수단들의 당야함으로부터 본 발명의 소자들을 구성하고 다양한 방법으로 구성요소들의 위치를 수정할 수 있는 가능성을 인식할 것이다. 본 발명의 실시예들이 상세히 설명되었고 첨부 도면에 도시될 것이지만, 다음의 청구항들에 개시된 바로서의 본 발명의 범위를 벗어남이 없이 다양한 부가적인 수정들이 가능할 것이라는 것은 명백하다.
따라서, 본 발명에 따르면 프로세스 제어, 품질, 수율 및 비용 절감을 최적화시키기 위하여 반도체 제조 기술의 향상된 컴퓨터 수행 집적화가 제공되는 방법 및 기술을 제공하는 효과가 있다. 또한, 프로세스 또는 장비가 과도한 소프트웨어 프로그래밍 없이도 변경되는데 도움을 주는 컴퓨터 집적 제조 시스템을 통한 중앙집중화된 웨이퍼 제조 관리 및 제어가 가능한 효과가 있다.

Claims (68)

  1. 컴퓨터 실행형 공장 자동화 주기를 규정하는 방법으로서,
    a) 설치 및 관리 주기 활동 프레임워크 (lifecycle activity framework) 구성요소들을 규정하는 단계;
    b) 공장 모델링 주기 활동 프레임워크 구성요소들을 규정하는 단계; 및
    c) 제조 제어, 모니터링 및 트래킹 주기 활동 프레임워크 구성요소들을 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  2. 제 1 항에 있어서,
    상기 관리 주기 활동 프레임워크 구성요소들을 규정하는 단계는,
    보안 구성요소, GUI 콘솔 (console) 구성요소, 성능 및 라이센스 구성요소 및 사가 (saga) 관리 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  3. 제 1 항에 있어서,
    상기 공장 모델링 주기 활동 프레임워크 구성요소들을 규정하는 단계는,
    콘텍스트 (context) 해결 구성요소, 배치 관리 구성요소 및 일정 (calendar) 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  4. 제 1 항에 있어서,
    상기 제조 제어, 모니터링 및 트래킹 주기 활동 프레임워크 구성요소들을 규정하는 단계는,
    시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소 및 자원들의 요금계산 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  5. 제 1 항에 있어서,
    하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들을 규정하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  6. 제 5 항에 있어서,
    상기 하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들을 규정하는 단계는 데이터 관리자 구성요소를 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  7. 제 5 항에 있어서,
    상기 하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들과 상기 공장 모델링 주기 활동 프레임워크 구성요소들로부터 선택되는 구성요소들 사이의 상호작용들을 규정하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  8. 제 1 항에 있어서,
    SW 개발 및 통합 주기 활동을 규정하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  9. 제 1 항에 있어서,
    제조 계획 주기 활동을 규정하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  10. 제 1 항에 있어서,
    상기 컴퓨터 실행형 공장 자동화 주기 규정 방법은 집적 회로 구조물을 프로세스하는 공장 자동화 주기 규정 방법을 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  11. 제 1 항에 있어서,
    상기 프레임워크 구성 요소가 도구 통합 (tool integration) 구성요소와 통신하도록 상기 프레임워크 구성요소들을 규정하는 단계를 더 포함하고,
    상기 프레임워크 구성요소들은 설치 및 관리 주기 활동 프레임워크 구성요소, 공장 모델링 주기 활동 프레임워크 구성요소들 및 제조 제어, 모니터링 및 트래킹 프레임워크 구성요소들로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  12. 제 11 항에 있어서,
    상기 제조 제어, 모니터링 및 트래킹 프레임워크 구성요소들을 규정하는 단계는 시각적인 작업흐름 구성요소를 규정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 실행형 공장 자동화 주기 규정 방법.
  13. 하나 이상의 컴퓨터들을 포함하는 프로세스 시스템을 관리하는 방법으로서,
    a) 하나 이상의 컴퓨터들에 의해 하나 이상의 프레임워크 소프트웨어 구성요소들을 포함하는 공장 자동화 주기를 실행하는 단계;
    b) 상기 시스템을 관리하기 위한 하나 이상의 컴퓨터 실행형 명령을 제공하기 위하여, 상기 하나 이상의 프레임워크 구성요소들의 관리를 받는 하나 이상의 애플리케이션 소프트웨어 구성요소들을 실행하는 단계와,
    c) 상기 하나 이상의 명령들이 수정될 필요가 있는지를 결정하는 단계;
    d) 만약 상기 명령들이 수정될 필요가 없다면, 상기 하나 이상의 명령들을 상기 시스템과 통신시키는 단계;
    e) 만약 수정될 필요가 있다면, 상기 하나 이상의 프레임워크 구성요소들을 이용하여 상기 명령들을 수정하여 수정된 명령들을 형성하는 단계; 및
    f) 상기 수정된 명령들을 상기 시스템과 통신시키는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  14. 제 13 항에 있어서,
    상기 시스템 내에서 상기 하나 이상의 명령들을 실행함으로써 상기 프로세스 시스템을 관리하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  15. 제 13 항에 있어서,
    상기 하나 이상의 프레임워크 구성요소들을 실행하는 단계는,
    보안 구성요소, GUI 콘솔 구성요소, 성능 및 라이센스 관리 구성요소, 사가 관리 구성요소, 콘텍스트 해결 구성요소, 배치 관리 구성요소, 일정 구성요소, 시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소, 자원들의 요금계산 구성요소 및 데이타 관리자 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 실행하는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  16. 제 13 항에 있어서,
    상기 하나 이상의 애플리케이션 구성요소들을 실행하는 단계는,
    품질 관리 구성요소, 도구 통합 구성요소, 장비 관리 구성요소, 레서피 (recipe) 관리 구성요소, 디스패치 (dispatch) 및 스케쥴링 구성요소, 재료 처리 구성요소, 진행중인 작업 구성요소 및 레가시 (legacy) 시스템 인터페이스 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 실행하는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  17. 제 13 항에 있어서,
    상기 통신시키는 단계는 도구 통합 구성요소에 의해 통신하는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  18. 제 17 항에 있어서,
    상기 통신시키는 단계는,
    a) 도구 인터페이스 프로그램; 및
    b) 도구 통합 구성요소 어댑터에 의해 통신하는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  19. 제 17 항에 있어서,
    상기 하나 이상의 컴퓨터 실행 명령들은 시각적인 프레임워크 구성요소 명령들인 것임을 특징으로 하는 프로세스 시스템 관리 방법.
  20. 제 13 항에 있어서,
    서버 구조 빌딩 블록, 내구성 빌딩 블록, 공통 GUI 제어 빌딩 블록, 공개 및 서브스크라이브 (subscribe) 메세지 빌딩 블록, 동적 API 발견 빌딩 블록, 연합 빌딩 블록, 히스토리 (history) 빌딩 블록, 일반 서비스 수행자 빌딩 블록, 분류 빌딩 블록, 고객 규정 특성 빌딩 블록, 상태 모델 빌딩 블록, 네임스페이스 (namespace) 빌딩 블록, 스케쥴/메모장 빌딩 블록, 템플레이트 (templates) 빌딩 블록, 변경된 대상물 빌딩 블록 및 네비게이션 (navigation) 빌딩 블록으로 이루어진 그룹으로부터 선택되는 하나 이상의 소프트웨어 빌딩 블록에 의해 하나 이상의 프레임워크 구성요소들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  21. 제 13 항에 있어서,
    상기 하나 이상의 프레임워크 구성요소들을 실행하는 단계는,
    상기 하나 이상의 프레임워크 구성요소의 데이터 구조를 프레임워크 구성요소들 및 애플리케이션 구성요소들로 이루어진 그룹으로부터 선택되는 하나 이상의 구성 요소의 데이터 구조와 통신시키는 단계를 더 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  22. 제 13 항에 있어서,
    상기 명령들을 수정하는 단계는 데이터를 입력하는 단계를 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  23. 제 13 항에 있어서,
    상기 프로세스 시스템 관리 방법은 집적 회로 구조물을 프로세스하는 시스템을 관리하는 방법을 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  24. 제 23 항에 있어서,
    상기 시스템은 하나 이상의 웨이퍼 제조 도구들을 포함하는 것을 특징으로 하는 프로세스 시스템 관리 방법.
  25. 소프트웨어 프레임워크를 장치에 접속시키는 방법으로서,
    a) 도구 인터페이스 프로그램; 및
    b) 도구 통합 구성요소 어댑터를 포함하는 도구 통합 구성요소에 의해 접속시키는 단계를 포함하는 것을 특징으로 하는 장치 접속 방법.
  26. 제 25 항에 있어서,
    상기 접속시키는 단계는,
    a) 하나 이상의 프레임워크 구성요소들;
    b) 도구 통합 구성요소 어댑터; 및
    c) 도구 인터페이스 프로그램 사이에서 메세지들을 교환하는 단계를 포함하는 것을 특징으로 하는 장치 접속 방법.
  27. 제 26 항에 있어서,
    상기 하나 이상의 프레임워크 구성요소들은 시각적인 작업흐름 구성요소를 포함하는 것을 특징으로 하는 장치 접속 방법.
  28. 제품을 프로세스하는 방법으로서,
    a) 제품을 프로세스하기 위한 사양을 결정하는 단계; 및
    b) 하나 이상의 프레임워크 구성요소들을 갖는 공장 자동화 주기 및 상기 프레임워크 구성요소들의 관리를 받는 하나 이상의 애플리케이션 구성요소들을 포함하는 분산형 공장 시스템 프레임워크에 의해 상기 제품 프로세스를 관리하는 단계를 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  29. 제 28 항에 있어서,
    상기 관리하는 단계는,
    a) 상기 분산형 공장 시스템 프레임워크가 상기 사양들을 만족시키도록 수정될 필요가 있는 지를 결정하는 단계; 및
    b) 만약 상기 분산형 공장 시스템 프레임워크가 수정될 필요가 있다면, 상기 하나 이상의 상기 애플리케이션 구성요소들을 수정하는 단계를 더 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  30. 제 29 항에 있어서,
    상기 수정하는 단계는 데이터를 입력하는 단계를 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  31. 제 28 항에 있어서,
    상기 관리하는 단계는 하나 이상의 소프트웨어 빌딩 블록들에 의해 하나 이상의 프레임워크 구성요소들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  32. 제 28 항에 있어서,
    상기 관리하는 단계는,
    a) 상기 하나 이상의 애플리케이션 구성요소들에 의하여, 관리를 위한 하나 이상의 컴퓨터 실행형 명령을 형성하는 단계;
    b) 상기 하나 이상의 명령들을 상기 제품을 프로세스하는 장비와 통신시키는 단계; 및
    c) 상기 하나 이상의 명령들을 상기 장비 상에서 실행하는 단계를 더 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  33. 제 32 항에 있어서,
    상기 통신시키는 단계는 도구 통합 구성요소에 의해 통신시키는 단계를 포함하고, 상기 도구 통합 구성요소는,
    (1) 도구 통합 구성요소 어댑터; 및
    (2) 도구 인터페이스 프로그램을 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  34. 제 28 항에 있어서,
    상기 제품 프로세스 방법은 집적 회로 구조물을 프로세스하는 방법을 포함하는 것을 특징으로 하는 제품 프로세스 방법.
  35. 웨이퍼 제조 공정을 시작하는 방법에 있어서,
    a) 상기 웨이퍼 제조 공정을 프로세스하는 프로세스 단계들의 순서를 규정하는 단계;
    b) 프레임워크 구성요소들 및 애플리케이션 구성요소들을 갖는 분산형 공장 시스템 프레임워크에 포함된 시각적인 작업 흐름 구성요소 내에서 상기 순서를 규정하는 작업 흐름을 형성하는 단계; 및
    c) 상기 시각적인 작업흐름 구성요소가 진행중인 작업 관리 구성요소 또는 GUI 콘솔 구성요소 내의 GUI 인 서비스에 의해 상기 공정이 시작되도록 요청하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 제조 공정 시작 방법.
  36. 제품을 프로세스하는 장치로서,
    a) 제품 프로세스 장비;
    b) 전자 데이터 (electronic data) 를 프로세스하는 하나 이상의 중앙 처리 장치;
    c) 상기 중앙 처리 장치와 상기 제품 프로세스 장비를 동작적으로 접속시키는 접속부;
    d) 상기 하나 이상의 중앙 처리 장치와 동작적으로 접속되어 디지털로 코딩된 데이터 구조들을 저장하는 메모리; 및
    e) 상기 메모리의 내부에 저장되며 관리 주기 활동 프레임워크 구성요소들, 공장 모델링 주기 활동 구성요소들 및 제조 제어 및 트래킹 주기 활동 프레임워크 구성요소들을 포함하는 공장 자동화 주기를 포함하는 디지털로 코딩된 제 1 데이터 구조를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  37. 제 36 항에 있어서,
    상기 관리 주기 활동 프레임워크 구성요소들은,
    보안 구성요소, GUI 콘솔 구성요소, 성능 및 라이센스 관리 구성요소 및 사가 관리 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  38. 제 36 항에 있어서,
    상기 공장 모델링 주기 활동 프레임워크 구성요소들은,
    콘텍스트 해결 구성요소, 배치 관리 구성요소 및 일정 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  39. 제 36 항에 있어서,
    상기 제조 제어 및 트래킹 주기 활동 프레임워크 구성요소들은,
    시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소 및 자원의 요금 계산 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성 요소를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  40. 제 36 항에 있어서,
    하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들을 더 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  41. 제 40 항에 있어서,
    상기 하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들은 데이터 관리자 구성요소를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  42. 제 36 항에 있어서,
    애플리케이션 구성요소들을 포함하는 디지털로 코딩된 제 2 데이터 구조를 더 포함하고,
    상기 제 1 데이터 구조가 상기 제 2 데이터 구조를 관리하는 것을 특징으로 하는 제품 프로세스 장치.
  43. 제 42 항에 있어서,
    하나 이상의 프레임워크 구성요소들을 형성하는 소프트웨어 빌딩 블록들을 포함하는 디지털로 코딩된 제 3 데이터 구조를 더 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  44. 제 36 항에 있어서,
    상기 제 1 데이터 구조는,
    a) GUI 콘솔 구성요소를 포함하는 디지털로 코딩된 제 4 데이터 구조; 및
    b) 배치 관리 구성요소를 포함하는 디지털로 코딩된 제 5 데이터 구조를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  45. 제 44 항에 있어서,
    상기 제 4 및 제 5 데이터 구조들은 상호작용하는 것을 특징으로 하는 제품 프로세스 장치.
  46. 제 36 항에 있어서,
    상기 접속부는 도구 통합 구성요소 어댑터 및 도구 인터페이스 프로그램을 포함하는 도구 통합 구성요소를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  47. 제품을 프로세스하는 장치로서,
    a) 제품 프로세스 장비;
    b) 전자 데이터를 프로세스하는 하나 이상의 중앙 처리 장치;
    c) 상기 하나 이상의 중앙 처리 장치와 상기 제품 프로세스 장비를 동작적으로 접속시키는 접속부;
    d) 상기 하나 이상의 중앙 처리 장치와 동작적으로 접속되어 디지털로 코딩된 데이터 구조들을 저장하는 메모리; 및
    e) 제품의 프로세스를 관리하는 분산형 공장 시스템 프레임워크를 포함하며,
    상기 분산형 공장 시스템 프레임워크는,
    디지털로 코딩된 프레임워크 구성요소들을 포함하는 공장 자동화 주기를 포함하는 디지털로 코딩된 제 1 데이터 구조 ;
    디지털로 코딩된 명령들을 상기 제품 프로세스 장비와 통신시키도록 구성되는 애플리케이션 구성요소들을 포함하며 상기 제 1 데이터 구조의 관리를 받는 디지털로 코딩된 제 2 데이터 구조 ; 및
    상기 디지털로 코딩된 명령들을 상기 제품 프로세스 장비와 통신시키는 접속부를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  48. 제 36 항 또는 제 47 항에 있어서,
    상기 제품 프로세스 장치는 집적 회로 구조물을 프로세스하는 장치를 포함하는 것을 특징으로 하는 제품 프로세스 장치.
  49. 프로세스 시스템을 관리하기 위한 분산형 공장 시스템 프레임워크에 있어서,
    a) 디지털로 코딩된 프레임워크 구성요소들을 포함하는 디지털로 코딩된 제 1 데이터 구조;
    b) 디지털로 코딩된 명령들을 상기 프로세스 시스템과 통신시키도록 구성되는 애플리케이션 구성요소들을 포함하며 상기 제 1 데이터 구조의 관리를 받는 디지털로 코딩된 제 2 데이터 구조; 및
    c) 상기 디지털로 코딩된 명령들을 상기 프로세스 시스템과 통신시키는 접속부를 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  50. 제 49 항에 있어서,
    상기 프레임워크 구성요소들은,
    보안 구성요소, GUI 콘솔 구성요소, 성능 및 라이센스 관리 구성요소, 사가 관리 구성요소, 콘텍스트 해결 구성요소, 배치 관리 구성요소, 일정 구성요소, 시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소, 자원들의 요금계산 구성요소 및 데이터 관리자 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  51. 제 49 항에 있어서,
    상기 애플리케이션 구성요소들은,
    품질 관리 구성요소, 도구 통합 구성요소, 장비 관리 구성요소, 레서피 관리 구성요소, 디스패칭 및 스케쥴링 구성요소, 재료 처리 구성요소, 진행중인 작업 구성요소 및 레가시 시스템 인터페이스 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  52. 제 49 항에 있어서,
    상기 접속부는 도구 통합 구성요소를 포함하는 제 4 데이터 구조를 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  53. 제 52 항에 있어서,
    상기 제 4 데이터 구조는,
    a) 도구 인터페이스 프로그램 제 5 데이터 구조; 및
    b) 도구 통합 구성요소 어댑터 제 6 데이터 구조를 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  54. 제 49 항에 있어서,
    서버 구조 빌딩 블록, 내구성 빌딩 블록, 공통 GUI 제어 빌딩 블록, 공개 및 서브스크라이브 메세지 빌딩 블록, 동적 API 발견 빌딩 블록, 연합 빌딩 블록, 히스토리 빌딩 블록, 일반 서비스 수행자 빌딩 블록, 분류 빌딩 블록, 고객 규정 특성 빌딩 블록, 상태 모델 빌딩 블록, 네임스페이스 빌딩 블록, 스케쥴/메모장 빌딩 블록, 템플레이트 빌딩 블록, 변경된 대상물 빌딩 블록 및 네비게이션 빌딩 블록으로 이루어진 그룹으로부터 선택되는 하나 이상의 소프트웨어 빌딩 블록을 더 포함하는 것을 특징으로 하는 분산형 공장 시스템 프레임워크.
  55. a) 관리 주기 활동 프레임워크 구성요소들;
    b) 공장 모델링 주기 활동 프레임워크 구성요소들; 및
    c) 제조 제어 및 트래킹 주기 활동 프레임워크 구성요소들을 갖는 공장 자동화 주기를 포함하는 디지털로 코딩된 제 1 데이터 구조를 포함하는 것을 특징으로 하는 데이터 저장 장치.
  56. 제 55 항에 있어서,
    상기 관리 주기 활동 프레임워크 구성요소들은,
    보안 구성요소, GUI 콘솔 구성요소, 성능 및 라이센스 관리 구성요소 및 사가 관리 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 포함하는 것을 특징으로 하는 데이터 저장 장치.
  57. 제 55 항에 있어서,
    상기 공장 모델링 주기 활동 프레임워크 구성요소들은,
    콘텍스트 해결 구성요소, 배치 관리 구성요소 및 일정 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 포함하는 것을 특징으로 하는 데이터 저장 장치.
  58. 제 55 항에 있어서,
    상기 제조 제어 및 트래킹 주기 활동 프레임워크 구성요소들은,
    시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소 및 자원들의 요금계산 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 프레임워크 구성요소들을 포함하는 것을 특징으로 하는 데이터 저장 장치.
  59. 제 55 항에 있어서,
    하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들을 더 포함하는 것을 특징으로 하는 데이터 저장 장치.
  60. 제 59 항에 있어서,
    상기 하나 이상의 제조 결과 분석 주기 활동 프레임워크 구성요소들은 데이터 관리자 구성요소를 포함하는 것을 특징으로 하는 데이터 저장 장치.
  61. 제 55 항에 있어서,
    GUI 콘솔 프레임워크 구성요소와 상호작용하도록 구성되는 복수의 프레임워크 구성요소들을 더 포함하는 것을 특징으로 하는 데이터 저장 장치.
  62. 제 55 항에 있어서,
    애플리케이션 구성요소들을 포함하는 디지털로 코딩된 제 2 데이터 구조를 더 포함하고,
    상기 제 1 데이터 구조는 상기 제 2 데이터 구조를 관리하도록 구성되는 것을 특징으로 하는 데이터 저장 장치.
  63. 제 62 항에 있어서,
    상기 하나 이상의 프레임워크 구성요소들을 형성하는 소프트웨어 빌딩 블록들을 포함하는 디지털로 코딩된 제 3 데이터 구조를 더 포함하는 것을 특징으로 하는 데이터 저장 장치.
  64. 제 63 항에 있어서,
    상기 제 1, 제 2 및 제 3 데이터 구조들은 집적 회로 구조물을 프로세스하도록 구성되는 것을 특징으로 하는 데이터 저장 장치.
  65. a) 디지털로 코딩된 프레임워크 구성요소들을 포함하는 공장 자동화 주기를 포함하는 디지털로 코딩된 제 1 데이터 구조; 및
    b) 애플리케이션 구성요소들을 포함하며 상기 제 1 데이터 구조의 수정을 받는 디지털로 코딩된 제 2 데이터 구조를 포함하는 것을 특징으로 하는 데이터 저장 장치.
  66. 제 65 항에 있어서,
    상기 프레임워크 구성요소들은,
    보안 구성요소, GUI 콘솔 구성요소, 성능 및 라이센스 관리 구성요소, 사가 관리 구성요소, 콘텍스트 해결 구성요소, 배치 관리 구성요소, 일정 구성요소, 시각적인 작업흐름 구성요소, 자원 조정 구성요소, 이벤트 모니터링 구성요소, 자원들의 요금계산 구성요소 및 데이터 관리자 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 포함하는 것을 특징으로 하는 데이터 저장 장치.
  67. 제 65 항에 있어서,
    상기 애플리케이션 구성요소들은,
    품질 관리 구성요소, 도구 통합 구성요소, 장비 관리 구성요소, 레서피 관리 구성요소, 디스패칭 및 스케쥴링 구성요소, 재료 처리 구성요소, 진행중인 작업 구성요소 및 레가시 시스템 인터페이스 구성요소로 이루어진 그룹으로부터 선택되는 하나 이상의 구성요소들을 포함하는 것을 특징으로 하는 데이터 저장 장치.
  68. 제 65 항에 있어서,
    서버 구조 빌딩 블록, 내구성 빌딩 블록, 공통 GUI 제어 빌딩 블록, 공개 및 서브스크라이브 메세지 빌딩 블록, 동적 API 이송 빌딩 블록, 연합 빌딩 블록, 히스토리 빌딩 블록, 일반 서비스 수행자 빌딩 블록, 분류 빌딩 블록, 고객 규정 특성 빌딩 블록, 상태 모델 빌딩 블록, 네임스페이스 빌딩 블록, 스케쥴/메모장 빌딩 블록, 템플레이트 빌딩 블록, 변경된 대상물 빌딩 블록 및 네비게이션 빌딩 블록으로 이루어진 그룹으로부터 선택되는 하나 이상의 소프트웨어 빌딩 블록을 포함하는 디지털로 코딩된 제 3 데이터 구조를 더 포함하는 것을 특징으로 하는 데이터 저장 장치.
KR1020000043981A 1999-07-29 2000-07-29 컴퓨터 집적 제조 기술 KR100779436B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/363,966 1999-07-29
US9/363,966 1999-07-29
US09/363,966 US7069101B1 (en) 1999-07-29 1999-07-29 Computer integrated manufacturing techniques

Publications (2)

Publication Number Publication Date
KR20010030038A KR20010030038A (ko) 2001-04-16
KR100779436B1 true KR100779436B1 (ko) 2007-11-26

Family

ID=23432479

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000043981A KR100779436B1 (ko) 1999-07-29 2000-07-29 컴퓨터 집적 제조 기술

Country Status (7)

Country Link
US (2) US7069101B1 (ko)
EP (1) EP1072967B1 (ko)
JP (1) JP2001143981A (ko)
KR (1) KR100779436B1 (ko)
DE (1) DE60030369T2 (ko)
SG (1) SG85207A1 (ko)
TW (1) TW514771B (ko)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
US7403984B2 (en) * 2000-02-01 2008-07-22 Asyst Technologies, Inc. Automated tool management in a multi-protocol environment
US8028049B1 (en) * 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
CA2353238C (en) * 2000-07-21 2013-10-08 Ricoh Company Ltd. Component management system and method
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
EP1328890A2 (de) * 2000-10-20 2003-07-23 Siemens Aktiengesellschaft System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7801777B2 (en) * 2001-01-23 2010-09-21 Oracle International Corporation System and method for managing the development and manufacturing of a beverage
US7487182B2 (en) * 2001-01-23 2009-02-03 Conformia Software, Inc. Systems and methods for managing the development and manufacturing of a drug
US20020165806A1 (en) * 2001-01-23 2002-11-07 Kataria Anjali Rani System and method for managing a regulated industry
US6801817B1 (en) * 2001-02-20 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple process controllers
US6782343B2 (en) * 2001-02-28 2004-08-24 Asm International N.V. Resource consumption calculator
US20020152046A1 (en) * 2001-04-13 2002-10-17 Velichko Sergey A. Concurrent control of semiconductor parametric testing
JP4348412B2 (ja) * 2001-04-26 2009-10-21 東京エレクトロン株式会社 計測システムクラスター
WO2002091248A1 (en) * 2001-05-04 2002-11-14 Therma-Wave, Inc. Systems and methods for metrology recipe and model generation
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7031783B2 (en) * 2001-06-29 2006-04-18 Agilent Technologies, Inc. Virtualized generic equipment model data and control router for factory automation
US7756963B2 (en) * 2001-07-05 2010-07-13 PEER Intellectual Property, Inc. Automated tool management in a multi-protocol environment
US6970758B1 (en) * 2001-07-12 2005-11-29 Advanced Micro Devices, Inc. System and software for data collection and process control in semiconductor manufacturing and method thereof
DE10161111A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Projektierung von Transformationen von Objektbäumen
DE10161064A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Kommunikation zwischen Softwareapplikationen, insbesondere MES-Applikationen
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
AU2003234106A1 (en) * 2002-04-15 2003-11-03 Invensys Systems, Inc. Methods and apparatus for process, factory-floor, environmental, computer aided manufacturing-based or other control system with real-time data distribution
JP2003308366A (ja) * 2002-04-15 2003-10-31 Mitsubishi Electric Corp 製造管理方法
US7006880B2 (en) * 2002-04-19 2006-02-28 Phred, Llc Method for controlling a device with a control system
WO2003092044A2 (en) * 2002-04-23 2003-11-06 Tokyo Electron Limited Method and apparatus for simplified system configuration
US7162386B2 (en) * 2002-04-25 2007-01-09 Micron Technology, Inc. Dynamically adaptable semiconductor parametric testing
US6907305B2 (en) * 2002-04-30 2005-06-14 Advanced Micro Devices, Inc. Agent reactive scheduling in an automated manufacturing environment
US6701199B1 (en) * 2002-08-22 2004-03-02 Chartered Semiconductor Manufactoring Ltd. Methodology to obtain integrated process results prior to process tools being installed
US7234132B2 (en) 2002-08-29 2007-06-19 International Business Machines Corporation Application integration model for dynamic software component assembly within an application at runtime
US6957113B1 (en) * 2002-09-06 2005-10-18 National Semiconductor Corporation Systems for allocating multi-function resources in a process system and methods of operating the same
US20040059616A1 (en) * 2002-09-20 2004-03-25 Chih-Kuang Chang System and method for managing measurement work flow
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7010451B2 (en) * 2003-04-17 2006-03-07 Micron Technology, Inc. Dynamic creation and modification of wafer test maps during wafer testing
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
US20050086120A1 (en) * 2003-10-16 2005-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of managing subcontracting for backend outsourcing business
GB0406663D0 (en) * 2004-03-24 2004-04-28 Cavendish Kinetics Ltd Information management and tracking system (IMTS)
US7127314B2 (en) 2004-03-25 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication monitoring system
WO2005101791A1 (en) * 2004-04-16 2005-10-27 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for handling user's attributes sharing between service providers
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7571078B2 (en) * 2004-05-20 2009-08-04 Sap Ag Time dependent process parameters for integrated process and product engineering
US7603262B2 (en) * 2004-05-20 2009-10-13 Sap Ag Time dependent process parameters and engineering change number conflict report
GB0414336D0 (en) * 2004-06-28 2004-07-28 Mood Internat Ltd Method and apparatus for managing and synchronising variant business structures
US8321545B2 (en) * 2004-07-15 2012-11-27 Symbol Technologies, Inc. Service oriented platform architecture for a wireless network
US8108470B2 (en) * 2004-07-22 2012-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Message management system and method
US7069098B2 (en) 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7206652B2 (en) * 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7359768B2 (en) 2004-10-29 2008-04-15 International Business Machines Corporation Route input system
US7305278B2 (en) * 2004-11-15 2007-12-04 International Business Machines Corporation Enterprise factory control method and system
US20060107898A1 (en) * 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
AT501642A1 (de) * 2005-03-25 2006-10-15 Ams Engineering Sticht Ges M B Verfahren zur steuerung einer fertigungsanlage
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US20060271223A1 (en) * 2005-05-27 2006-11-30 International Business Machines Corporation Method and system for integrating equipment integration software, equipment events, mes and rules databases
US20070033180A1 (en) * 2005-08-05 2007-02-08 Mitsubishi Electric Corporation Apparatus and method for searching for software units for use in the manufacturing industry
US7908164B1 (en) * 2005-08-09 2011-03-15 SignalDemand, Inc. Spot market profit optimization system
JP4957226B2 (ja) * 2005-12-15 2012-06-20 富士通セミコンダクター株式会社 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ
DE102006001257A1 (de) * 2005-12-30 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Automatisiertes Zustandabschätzungssystem für Cluster-Anlagen und Verfahren zum Betreiben des Systems
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
WO2007148640A1 (ja) * 2006-06-19 2007-12-27 Hitachi Kokusai Electric Inc. 基板処理システムおよび動作検証方法
US7801689B2 (en) * 2006-07-17 2010-09-21 Oracle International Corporation Systems and methods for tracking the composition of distilled spirits in production and storage
JP2008078630A (ja) * 2006-08-24 2008-04-03 Hitachi Kokusai Electric Inc 基板処理システム
JP5045042B2 (ja) * 2006-09-19 2012-10-10 富士通株式会社 業務フロー編集プログラム、業務フロー編集装置および業務フロー編集方法
US9058032B2 (en) * 2006-09-29 2015-06-16 Rockwell Automation Technologies, Inc. Hosting requirements for services
US7912560B2 (en) * 2006-09-29 2011-03-22 Rockwell Automation Technologies, Inc. Module and controller operation for industrial control systems
US8265775B2 (en) * 2008-09-30 2012-09-11 Rockwell Automation Technologies, Inc. Modular object publication and discovery
US9217998B2 (en) * 2006-09-29 2015-12-22 Rockwell Automation Technologies, Inc. Management and development of an industrial environment
US8818757B2 (en) * 2008-09-30 2014-08-26 Rockwell Automation Technologies, Inc. Modular object and host matching
US7835805B2 (en) * 2006-09-29 2010-11-16 Rockwell Automation Technologies, Inc. HMI views of modules for industrial control systems
US8776092B2 (en) 2006-09-29 2014-07-08 Rockwell Automation Technologies, Inc. Multiple interface support
US20080082577A1 (en) * 2006-09-29 2008-04-03 Rockwell Automation Technologies, Inc. Module classification and searching for industrial control systems
US8732658B2 (en) * 2006-09-29 2014-05-20 Rockwell Automation Technologies, Inc. Layered interface in an industrial environment
US8041435B2 (en) * 2008-09-30 2011-10-18 Rockwell Automation Technologies, Inc. Modular object dynamic hosting
US8078296B2 (en) * 2006-09-29 2011-12-13 Rockwell Automation Technologies, Inc. Dynamic procedure selection
US7856279B2 (en) * 2006-09-29 2010-12-21 Rockwell Automation Technologies, Inc. Module structure and use for industrial control systems
US9261877B2 (en) * 2006-09-29 2016-02-16 Rockwell Automation Technologies, Inc. Multiple machine interface
EP2104864B1 (en) 2006-10-20 2015-03-04 FEI Company Method for creating s/tem sample and sample structure
WO2008051880A2 (en) 2006-10-20 2008-05-02 Fei Company Method and apparatus for sample extraction and handling
US7610177B2 (en) 2006-10-27 2009-10-27 Hewlett-Packard Development Company, L.P. Manufacturing execution system, equipment interface and method of operating a manufacturing execution system
TWI323016B (en) * 2006-12-25 2010-04-01 Ind Tech Res Inst Real-time fault detection and classification system in use with a semiconductor fabrication process
US20080301012A1 (en) * 2007-05-31 2008-12-04 Cogswell Thomas A Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems
US8738410B2 (en) * 2007-05-31 2014-05-27 The Boeing Company Methods and systems for managing electronic work instructions for manufacture of product
WO2009028537A1 (ja) * 2007-08-29 2009-03-05 Tokyo Electron Limited 製造装置、情報処理方法、及びプログラム
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US20090157216A1 (en) * 2007-12-14 2009-06-18 Chandrashekar Krishnaswamy Automated scheduling of test wafer builds in a semiconductor manufacturing process flow
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US20100057507A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Real-Time Manufacturing Routing Optimization Using Content and Quality Level
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US9335761B2 (en) * 2008-09-30 2016-05-10 Rockwell Automation Technologies, Inc. Procedure classification for industrial automation
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
TWI394089B (zh) * 2009-08-11 2013-04-21 Univ Nat Cheng Kung 虛擬生產管制系統與方法及其電腦程式產品
US8429671B2 (en) * 2009-10-21 2013-04-23 Exxonmobil Upstream Research Company Integrated workflow builder for disparate computer programs
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
CN103124970B (zh) 2010-09-28 2016-08-03 国际商业机器公司 对多个要素进行分组的方法、程序以及装置
US20120095585A1 (en) * 2010-10-15 2012-04-19 Invensys Systems Inc. System and Method for Workflow Integration
US8745634B2 (en) 2010-10-15 2014-06-03 Invensys Systems, Inc. System and method for integrated workflow scaling
US9354630B2 (en) 2011-05-19 2016-05-31 Universal Laser Systems, Inc. Flexible laser manufacturing systems and associated methods of use and manufacture
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US8713517B2 (en) * 2011-07-21 2014-04-29 Lam Research Corporation Data architecture and user interface for plasma processing related software applications
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9588503B2 (en) 2011-11-15 2017-03-07 Rockwell Automation Technologies, Inc. Routing of enterprise resource planning messages
US9551983B2 (en) * 2011-11-15 2017-01-24 Rockwell Automation Technologies, Inc. Activity set management in a Manufacturing Execution System
US9953280B2 (en) 2011-11-15 2018-04-24 Rockwell Automation Technologies, Inc. Industry-specific workflows in a manufacturing execution system with premier integration
EP2610697B1 (en) 2011-12-28 2018-04-25 Yokogawa Electric Corporation System and method for managing life-cycle of batch in production control system in real time
EP2881903A1 (en) * 2013-12-03 2015-06-10 Siemens Aktiengesellschaft Managing design updates in a manufacturing execution system
US20140173554A1 (en) * 2014-02-24 2014-06-19 Arunav Gupta Platform and a method for development of a software application
US20170032016A1 (en) 2014-03-07 2017-02-02 SYSTEMA Systementwicklung Dip. -inf. Manfred Austen GmbH Real-time information systems and methodology based on continuous homomorphic processing in linear information spaces
CN104281088B (zh) * 2014-05-27 2017-07-28 南京钢铁股份有限公司 一种热轧板带轧机的二级系统过程控制方法
US10372834B2 (en) 2016-01-15 2019-08-06 DISCUS Software Company Creating and using an integrated technical data package
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10299560B1 (en) 2017-11-10 2019-05-28 Follicle, LLC Battery operated hair dryer
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110297577A (zh) * 2019-05-07 2019-10-01 惠科股份有限公司 画面显示控制方法
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
US11803849B1 (en) 2020-07-30 2023-10-31 Mark Lawrence Method and apparatus for decentralized micro businesses
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US17256A (en) * 1857-05-12 Portable barrack
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ko) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
DE69132012T2 (de) 1990-10-16 2000-11-16 Consilium Inc Objektorientierte architektur für fabrikverwaltung
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) * 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5757259A (en) * 1994-07-28 1998-05-26 Caterpillar Inc. Anti-rotation device for joining a shell and encapsulated terminal/coil subassembly
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
WO1997036164A1 (en) * 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) * 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US6064759A (en) * 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
SG66487A1 (en) * 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR100366630B1 (ko) * 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line

Also Published As

Publication number Publication date
US7069101B1 (en) 2006-06-27
US20020156548A1 (en) 2002-10-24
DE60030369T2 (de) 2007-08-30
EP1072967B1 (en) 2006-08-30
EP1072967A3 (en) 2001-11-21
DE60030369D1 (de) 2006-10-12
JP2001143981A (ja) 2001-05-25
US7174230B2 (en) 2007-02-06
TW514771B (en) 2002-12-21
SG85207A1 (en) 2003-11-27
KR20010030038A (ko) 2001-04-16
EP1072967A2 (en) 2001-01-31

Similar Documents

Publication Publication Date Title
KR100779436B1 (ko) 컴퓨터 집적 제조 기술
US7684876B2 (en) Dynamic load balancing using virtual controller instances
JP4722479B2 (ja) 自動製造環境におけるアクティブソフトウェアエージェントの特殊化
CN100367140C (zh) 使用基线控制脚本控制工具的方法和装置
US6790686B1 (en) Method and apparatus for integrating dispatch and process control actions
CN1307496C (zh) 集成多工艺控制器的方法和装置
Joshi et al. Computer control of flexible manufacturing systems: research and development
Wang et al. Designing function blocks for distributed process planning and adaptive control
Sakr et al. Building discrete-event simulation for digital twin applications in production systems
Hung et al. Development of an AVM system implementation framework
Hu et al. Concurrent deployment of run by run controller using SCC framework
Sekkat et al. Developing integrated performance measurement system using component based approach
Azaiez et al. Towards building OPC-UA companions for semi-conductor domain
Cheng et al. Advanced E-manufacturing model
Ou-Yang et al. Developing a computer shop floor control model for a CIM system—using object modeling technique
Grauer et al. Towards an IT-framework for digital enterprise integration
US6678566B2 (en) Backup control system (BCS) for optimizing utilization of multiple fabrication facilities
EP1316003B1 (en) Dispatching component for associating manufacturing facility service requestors with service providers
Diep et al. A distributed manufacturing execution system implemented with agents: the PABADIS model
Nagesh et al. Intelligent second-generation MES solutions for 300mm fabs
US20050086120A1 (en) Method of managing subcontracting for backend outsourcing business
Lopez et al. A software engineering method for the design of discrete manufacturing cell control
Levi Knowledge-Based Modeling for Computer-Integrated Manufacturing
Lee et al. SECAM: A supervisory equipment control application model for integrated semiconductor manufacturing equipment
Pinot et al. Advanced Manufacturing System AMS

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
LAPS Lapse due to unpaid annual fee