JP2001127040A - エッチング方法 - Google Patents

エッチング方法

Info

Publication number
JP2001127040A
JP2001127040A JP30342299A JP30342299A JP2001127040A JP 2001127040 A JP2001127040 A JP 2001127040A JP 30342299 A JP30342299 A JP 30342299A JP 30342299 A JP30342299 A JP 30342299A JP 2001127040 A JP2001127040 A JP 2001127040A
Authority
JP
Japan
Prior art keywords
etching
film
flow
flow rate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP30342299A
Other languages
English (en)
Other versions
JP4381526B2 (ja
Inventor
Mitsuru Ishikawa
充 石川
Masaaki Hagiwara
正明 萩原
Koichiro Inasawa
剛一郎 稲沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP30342299A priority Critical patent/JP4381526B2/ja
Priority to KR1020000062233A priority patent/KR100686761B1/ko
Priority to US09/696,232 priority patent/US7582220B1/en
Publication of JP2001127040A publication Critical patent/JP2001127040A/ja
Application granted granted Critical
Publication of JP4381526B2 publication Critical patent/JP4381526B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 選択比の向上,及びエッチング形状の改善を
図ることの可能なエッチング方法を提供する。 【解決手段】 気密な処理室104内に処理ガスを導入
し,処理室内に配置された基板上に形成されたエッチン
グ対象膜に対するエッチング方法において,処理ガスは
CFとNとArとからなり,エッチング対象膜は,
上層の有機ポリシロキサン膜及び下層の無機SiO
からなる。処理ガスのCFとNの流量比は実質的
に,1≦(Nの流量/CFの流量)≦4である。
(Nの流量/CFの流量)が1未満であると,エッ
チングストップを起こし,深くエッチングできない。ま
た,(Nの流量/CFの流量)が4より大きいと,
ボーイングが生じるなど,エッチング形状が良くない。
このため,処理ガスのCFとN の流量比は,実質的
に,1≦(Nの流量/CFの流量)≦4であること
が好ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,エッチング方法に
関する。
【0002】
【従来の技術】配線構造の微細化が要請されている近年
の半導体製造技術においては,Si基板上の層間絶縁膜
(エッチング対象膜)に,比誘電率が従来のSiO
りも非常に小さい有機系低誘電率材料が用いられてい
る。この有機系低誘電率材料には,例えばポリオルガノ
シロキサン架橋ビスベンゾシクロブテン樹脂(BCB)
や,DowChemical社製のSiLK(商品名)や,FLA
RE(商品名)などがある。
【0003】従来,上記有機系低誘電率材料にコンタク
トホール等の溝を形成するドライエッチングプロセスに
おいては,プラズマ放電等によりフッ素を含む反応活性
種を生成させるため,エッチングガスとしてフッ素原子
を多く含有するガスとしてCFが用いられ,さらに,
主にガス流量比制御のために用いられるArや,主にぬ
け性の向上,すなわち,深さ方向のエッチングを促進す
るために用いられるO を混合させた処理ガスを用いら
れていた。
【0004】
【発明が解決しようとする課題】しかしながら,上述の
ように有機系低誘電率材料からなるエッチング対象膜の
処理ガスにCFとOとArの混合ガスを用いた場合
には,対レジスト選択比が低いという第1の問題点があ
った。なお,対レジスト選択比とは,(エッチング対象
膜の平均エッチング速度)/(フォトレジストのエッチ
ング速度)で表される値をいい,以下,単に「選択比」
という。選択比が低い処理ガスを用いると,溝の開口部
が広がるため好ましくない。さらに,ボーイングによる
エッチング形状異常が生ずるという第2の問題点もあっ
た。
【0005】本発明は,従来のエッチング方法が有する
上記問題点に鑑みてなされたものであり,本発明の目的
は,選択比の向上,及びエッチング形状の改善を図るこ
との可能な,新規かつ改良されたエッチング方法を提供
することである。
【0006】
【課題を解決するための手段】上記課題を解決するた
め,本発明の第1の観点によれば,請求項1に記載のよ
うに,気密な処理室内に処理ガスを導入し,処理室内に
配置された基板上に形成されたエッチング対象膜に対す
るエッチング方法において,処理ガスは少なくともCF
とNとを含み,エッチング対象膜は,上層の有機ポ
リシロキサン膜及び下層のSiO膜からなることを特
徴とするエッチング方法が提供される。また,請求項2
に記載のように,Arをさらに含むようにしてもよい。
【0007】ここで,有機ポリシロキサンとは,以下の
構造のようにSiOの結合構造中にC,Hを含む官能
基を含むものをいう。なお,以下の構造中,符号Rは,
メチル基,エチル基,プロピル基等のアルキル基やその
誘導体,あるいは,フェニル基等のアリル基やその誘導
体である。
【0008】
【化1】
【0009】かかるエッチング方法によれば選択比を向
上し,さらにエッチング形状を改善することが可能であ
る。例えば,従来のCFとOとArとの混合ガスを
処理ガスとした場合の選択比は2.0程度であるのに対
し,上記構成のように,CF とNとArとを含んだ
混合ガスを処理ガスとして用いた場合の選択比は5.8
程度である。
【0010】また,処理ガスのCFとNの流量比
は,(Nの流量/CFの流量)が1未満であると,
エッチングストップを起こし,深くエッチングできな
い。また,(Nの流量/CFの流量)が4より大き
いと,ボーイングが生じるなど,エッチング形状が良く
ない。このため,処理ガスのCFとNの流量比は,
請求項3に記載のように,実質的に,1≦(Nの流量
/CFの流量)≦4であることが好ましい。
【0011】また,上記課題を解決するため,本発明の
第2の観点によれば,請求項4に記載のように,気密な
処理室内に処理ガスを導入し,処理室内に配置された基
板上に形成されたエッチング対象膜に対するエッチング
方法において,処理ガスは少なくともCとN
を含み,エッチング対象膜は,上層の有機ポリシロキサ
ン膜及び下層のSiN膜からなることを特徴とするエッ
チング方法が提供される。
【0012】エッチング対象膜の下層がSiN膜である
場合には,CFとNとの混合ガス,あるいはCF
とNとArとの混合ガスを用いるよりも,上記構成の
ように,CとNとの混合ガス,あるいは,請求
項5に記載のように,C とNとArとの混合ガ
スを用いた場合の方が選択比が向上する。
【0013】また,処理ガスのCとNの流量比
は,(Nの流量/Cの流量)が10未満である
と,エッチングストップを起こし,深くエッチングでき
ない。このため,処理ガスのCとNの流量比
は,請求項6に記載のように,実質的に,10≦(N
の流量/Cの流量)であることが好ましい。
【0014】
【発明の実施の形態】以下に添付図面を参照しながら,
本発明にかかるエッチング方法の好適な実施の形態につ
いて詳細に説明する。なお,本明細書及び図面におい
て,実質的に同一の機能構成を有する構成要素について
は,同一の符号を付することにより重複説明を省略す
る。
【0015】(第1の実施の形態) (1)エッチング装置の構成 まず,図1を参照しながら,本実施の形態のエッチング
方法が適用されるエッチング装置100について説明す
る。同図に示すエッチング装置100の保安接地された
処理容器102内には,処理室104が形成されてお
り,この処理室104内には,上下動自在なサセプタを
構成する下部電極106が配置されている。下部電極1
06の上部には,高圧直流電源108に接続された静電
チャック110が設けられており,この静電チャック1
10の上面に被処理体,例えば半導体ウェハ(以下,
「ウェハ」と称する。)Wが載置される。さらに,下部
電極106上に載置されたウェハWの周囲には,絶縁性
のフォーカスリング112が配置されている。また,下
部電極106には,整合器118を介して高周波電源1
20が接続されている。
【0016】また,下部電極106の載置面と対向する
処理室104の天井部には,多数のガス吐出孔122a
を備えた上部電極122が配置されている。上部電極1
22と処理容器102との間には絶縁体123が設けら
れている。また,上部電極122には,整合器119を
介してプラズマ生成高周波電力を出力する高周波電源1
21が接続されている。また,ガス吐出孔122aに
は,ガス供給管124が接続され,さらにそのガス供給
管124には,図示の例では第1〜第3分岐管126,
128,130が接続されている。
【0017】第1分岐管126には,開閉バルブ132
と流量調整バルブ134を介して,CFを供給するガ
ス供給源136が接続されている。また,第2分岐管1
28には,開閉バルブ138と流量調整バルブ140を
介して,Nを供給するガス供給源142が接続されて
いる。さらに,第3分岐管130には,開閉バルブ14
4と流量調整バルブ146を介して,Arを供給するガ
ス供給源148が接続されている。なお,処理ガスに添
加される不活性ガスは,上記Arに限定されず,処理室
104内に励起されるプラズマを調整することができる
ガスであればいかなる不活性ガス,例えばHe,Krな
どでも採用することができる。
【0018】また,処理容器102の下方には,不図示
の真空引き機構と連通する排気管150が接続されてお
り,その真空引き機構の作動により,処理室104内を
所定の減圧雰囲気に維持することができる。
【0019】(2)ウェハの構成 次に,本実施の形態にかかるエッチング方法によりエッ
チング処理を施すウェハWの構成について説明する。
【0020】本実施の形態で使用するウェハWは,Si
(シリコン)基板上に,所定の深さでエッチングを停止
させるエッチストッパとしての役割と,Si基板上に形
成された配線を保護する保護膜として役割を有するSi
膜が形成されている。そしてこのSiO膜の上層
に有機ポリシロキサン膜が形成されている。すなわち,
本実施の形態におけるエッチング対象膜は,上層の有機
ポリシロキサン及び下層のSiO膜からなる。
【0021】また,エッチング対象膜上には,所定のパ
ターンを有するエッチングマスクが形成されている。こ
のエッチングマスクには,例えば,フォトレジスト膜層
から成るマスクを採用することができる。
【0022】次に,上述したエッチング装置100を用
いて,本実施の形態にかかるエッチング方法によりウェ
ハWにコンタクトホールを形成する場合のエッチング工
程について説明する。
【0023】まず,予め所定温度に調整された下部電極
106上にウェハWを載置し,該ウェハWの温度を処理
に応じて−20℃〜50℃程度に維持する。例えば,コ
ンタクトホールの底部を−20℃程度,開口部を30℃
程度,側壁部を50℃程度に維持する。また,処理室1
04内の圧力雰囲気を処理に応じた所定の圧力,例えば
20mTorr(2.67Pa)程度になるように,処
理室104内を真空引きする。
【0024】次いで,本実施の形態にかかる処理ガス,
すなわちCFとNとArとを混合した処理ガスを,
ガス供給管124に介挿された流量調整バルブ134,
140,146により上記各ガスの流量を調整しながら
処理室104内に導入する。この際,処理ガスの流量比
は,1≦(Nの流量/CFの流量)≦4となるよう
にガス流量を調整する。例えば,Nを100sccm
程度,CFを50sccm程度,Arを300scc
m程度に調整する。
【0025】次いで,下部電極106に対して,例えば
周波数が2MHzで,電力が1200W程度の高周波電
力を印加する。また,上部電極122に対して,例えば
周波数が60MHz程度で,電力が1500W程度の高
周波電力を印加する。これにより,処理室102内に高
密度プラズマが生成され,かかるプラズマによってウェ
ハWに形成されたエッチング対象膜に所定形状のコンタ
クトホールが形成される。
【0026】以上のように構成された本実施の形態によ
れば,選択比を向上させ,さらにエッチング形状を改善
することが可能である。例えば,従来のCFとO
Arとの混合ガスを処理ガスとした場合の選択比は2.
0程度であるのに対し,上記構成のように,CFとN
とArとを含んだ混合ガスを処理ガスとして用いた場
合の選択比は5.8程度である。
【0027】また,(Nの流量/CFの流量)が1
未満であると,エッチングストップを起こし,深くエッ
チングできず,また,(Nの流量/CFの流量)が
4より大きいと,ボーイングが生じるなど,エッチング
形状が良くないが,本実施の形態のよれば,処理ガスの
CFとNの流量比を,実質的に,1≦(Nの流量
/CFの流量)≦4としたので,エッチングストップ
を防止し,エッチング形状を改善することが可能であ
る。
【0028】(第2の実施の形態)上記第1の実施の形
態にかかるエッチング方法は,処理ガスとしてCF
とArの混合ガスを処理ガスとして用いるものであ
った。本実施の形態では,処理ガスとしてCとN
とArの混合ガスを処理ガスとして用いるものであ
る。以下では,上記第1の実施の形態との相違点を明確
にしつつ詳細に説明する。
【0029】本実施の形態のエッチング方法が適用され
るエッチング装置は,上記エッチング装置100と実質
的に同様であるが,第1分岐管126には,開閉バルブ
132と流量調整バルブ134を介して,Cを供
給するガス供給源136が接続されている点が異なる。
かかる構成により,本実施の形態では,CとN
とArの混合ガスが処理ガスとして用いられる。
【0030】次に,本実施の形態にかかるエッチング方
法によりエッチング処理を施すウェハWの構成について
説明する。
【0031】本実施の形態で使用するウェハWは,Si
(シリコン)基板上に,所定の深さでエッチングを停止
させるエッチストッパとしての役割と,Si基板上に形
成された配線を保護する保護膜として役割を有するSi
N膜が形成されている。この点で第1の実施の形態と異
なる。そしてこのSiN膜の上層に有機ポリシロキサン
膜が形成されている。すなわち,本実施の形態における
エッチング対象膜は,上層の有機ポリシロキサン及び下
層のSiN膜からなる。
【0032】また,エッチング対象膜上には,所定のパ
ターンを有するエッチングマスクが形成されている。こ
のエッチングマスクには,例えば,フォトレジスト膜層
から成るマスクを採用することができる。この点は第1
の実施の形態と同様である。
【0033】次に,本実施の形態にかかるエッチング方
法によりウェハWにコンタクトホールを形成するエッチ
ング工程について説明する。
【0034】まず,予め所定温度に調整された下部電極
106上にウェハWを載置し,該ウェハWの温度を処理
に応じて−20℃〜50℃程度に維持する。例えば,コ
ンタクトホールの底部を−20℃程度,開口部を30℃
程度,側壁部を50℃程度に維持する。また,処理室1
04内の圧力雰囲気を処理に応じた所定の圧力,例えば
20mTorr(2.67Pa)程度になるように,処
理室104内を真空引きする。この点は上記第1の実施
の形態と同様である。
【0035】次いで,本実施の形態にかかる処理ガス,
すなわちCとNとArとを混合した処理ガス
を,ガス供給管124に介挿された流量調整バルブ13
4,140,146により上記各ガスの流量を調整しな
がら処理室104内に導入する。この際,処理ガスの流
量比は,10≦(Nの流量/Cの流量)となる
ようにガス流量を調整する。例えば,Nを200sc
cm程度,Cを12sccm程度,Arを300
sccm程度に調整する。
【0036】次いで,下部電極106に対して,例えば
周波数が13.56MHzで,電力が1200W程度の
高周波電力を印加する。また,上部電極122に対し
て,例えば周波数が60MHz程度で,電力が1500
W程度の高周波電力を印加する。これにより,処理室1
02内に高密度プラズマが生成され,かかるプラズマに
よってウェハWに形成されたエッチング対象膜に所定形
状のコンタクトホールが形成される。この点も上記第1
の実施の形態と同様である。
【0037】本実施の形態のようにエッチング対象膜の
下層がSiN膜である場合には,CFとNとArと
の混合ガスを用いるよりも,CとNとArとの
混合ガスを用いた場合の方が選択比が向上する。
【0038】また,(Nの流量/Cの流量)が
10未満であると,エッチングストップを起こし,深く
エッチングできないが,本実施の形態のように,処理ガ
スのCとNの流量比を,実質的に,10≦(N
の流量/Cの流量)としたので,エッチングス
トップを防止することが可能である。
【0039】
【実施例】次に,図2〜図3を参照しながら本発明にか
かるエッチング方法の実施例について説明する。なお,
本実施例は,上記実施の形態で説明したエッチング装置
100を用いて,ウェハWのエッチング対象膜にコンタ
クトホールを形成したものであるので,上記エッチング
装置100及びウェハWと略同一の機能及び構成を有す
る構成要素については,同一の符号を付することにより
重複説明を省略する。また,エッチングプロセス条件に
ついても,上述した実施の形態と略同一に設定されてい
る。なお,エッチング対象膜の有機ポリシロキサンは,
以下の構造を有するものを用いる。
【0040】
【化2】
【0041】(A)実施例1(CFとNの流量比の
変化) 本実施例では,CFとNとArとの混合ガスにより
処理ガスを構成し,CFとNの流量比を変化させた
場合について説明する。実施例1(a)〜実施例1
(c)は,CFとNとArの流量を,(a)50:
200:300,(b)50:100:300,(c)
50:50:300,に調整してエッチング処理を行
い,上述したウェハWの層間絶縁膜にコンタクトホール
を形成した。本実施例の結果は,図2に示した通りであ
る。なお,図2(A)は実施例1(a)のウェハWのセ
ンター部,図2(B)は実施例1(a)のウェハWのエ
ッジ部,図2(C)は実施例1(b)のウェハWのセン
ター部,図2(D)は実施例1(b)のウェハWのエッ
ジ部,図2(E)は実施例1(c)のウェハWのセンタ
ー部,図1(F)は実施例1(c)のウェハWのエッジ
部を示している。
【0042】図2に示したように,(a)CF:N
=50:200では,ボーイングXが生じており,形状
が好ましくない。(b)CF:N=50:100で
は,選択比も大きく,形状も良好である。(c)C
:N=50:50では,選択比も(b)の場合に
比べて小さく,さらにエッチストップが起きている。
【0043】従って,本実施例における流量比の例で
は,(b)CF:N=50:100=1:2が好ま
しく,(a)〜(c)の結果の比較から,実質的に,1
≦(N の流量/CFの流量)≦4であれば,レジス
ト選択比が大きく,形状も良好であると判断される。
【0044】(B)実施例2(CFとNの流量比の
変化) 本実施例では,CとNとArとの混合ガスによ
り処理ガスを構成し,CとNの流量比を変化さ
せた場合について説明する。実施例2(a)〜実施例2
(b)は,CとNとArの流量を,(a)1
2:200:300,(b)12:100:300,に
調整してエッチング処理を行い,上述したウェハWの層
間絶縁膜にコンタクトホールを形成した。本実施例の結
果は,図3に示した通りである。なお,図3(A)は実
施例2(a)のウェハWのセンター部,図3(B)は実
施例2(a)のウェハWのエッジ部,図3(C)は実施
例2(b)のウェハWのセンター部,図3(D)は実施
例2(b)のウェハWのエッジ部を示している。
【0045】図3に示したように,(a)C:N
=12:200では,選択比が大きく,形状も良好で
あるのに対し,(b)C:N=12:100で
は,選択比も(a)の場合に比べて小さく,さらにエッ
チストップが起きている。
【0046】従って,本実施例における流量比の例で
は,(a)C:N=12:200が好ましく,
(a)と(b)の結果の比較から,実質的に,10≦
(Nの流量/Cの流量)であれば,選択比が大
きく,形状も良好になると判断される。
【0047】以上,添付図面を参照しながら本発明にか
かるエッチング方法の好適な実施形態について説明した
が,本発明はかかる例に限定されない。当業者であれ
ば,特許請求の範囲に記載された技術的思想の範疇内に
おいて各種の変更例または修正例に想到し得ることは明
らかであり,それらについても当然に本発明の技術的範
囲に属するものと了解される。
【0048】例えば,上記実施の形態及び実施例におい
て,NとCとArとを混合した処理ガス,ある
いは,NとCFとArとを混合した処理ガスを採用
した構成を例に挙げて説明したが,本発明はかかる構成
に限定されるものではない。例えば,Arを処理ガスに
採用しない場合や,Arの代わりに他の不活性ガスを添
付した場合であっても,本発明を実施することができ
る。すなわち,少なくともNとCが含まれた処
理ガス,あるいは,少なくともNとCFが含まれた
処理ガスを用いれば,本発明を実施することが可能であ
る。
【0049】また,上記実施の形態および実施例におい
て,平行平板型エッチング装置を例に挙げて説明した
が,本発明はかかる構成に限定されるものではない。処
理室内に磁界を形成するエッチング装置や,静電シール
ドを設けた誘導結合型のエッチング装置,あるいは,マ
イクロ波型エッチング装置などの各種プラズマエッチン
グ装置等にも,本発明を適用することができる。
【0050】さらに,上記実施の形態及び実施例におい
て,ウェハに形成された層間絶縁膜にコンタクトホール
を形成する構成を例に挙げて説明したが,本発明はかか
る構成に限定されるものではなく,被処理体に形成され
た層間絶縁膜にいかなるエッチング処理を施す場合にも
適用することができる。
【0051】
【発明の効果】以上説明したように,本発明によれば,
選択比の向上,及びエッチング形状の改善を図ることが
可能である。
【図面の簡単な説明】
【図1】本発明を適用可能なエッチング装置を示す概略
的な断面図である。
【図2】本発明の実施例1を説明するための概略的な説
明図である。
【図3】本発明の実施例2を説明するための概略的な説
明図である。
【符号の説明】
100 エッチング装置 102 処理容器 104 処理室 106 下部電極 108 高圧電流電源 110 静電チャック 112 フォーカスリング 118 整合器 119 整合器 120 高周波電源 121 高周波電源 122 上部電極 122a ガス供給孔 123 絶縁体 124 ガス供給管 126,128,130,131 分岐管(第1分岐
管,第2分岐管,第3分岐管,第4分岐管) 132,138,144,152 開閉バルブ 134,140,146,154 流量調整バルブ 126,142,148,156 ガス供給源 150 排気管 W ウェハ X ボーイング
───────────────────────────────────────────────────── フロントページの続き (72)発明者 稲沢 剛一郎 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 Fターム(参考) 5F004 AA05 BA01 BA04 BA08 BB11 BB13 BB22 CA02 DA01 DA23 DA25 DA30 DB03 DB07 DB23 EB01 EB03

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 気密な処理室内に処理ガスを導入し,前
    記処理室内に配置された基板上に形成されたエッチング
    対象膜に対するエッチング方法において,前記処理ガス
    は少なくともCFとNとを含み,前記エッチング対
    象膜は,上層の有機ポリシロキサン膜及び下層のSiO
    膜からなることを特徴とする,エッチング方法。
  2. 【請求項2】 前記処理ガスは,Arをさらに含むこと
    を特徴とする,請求項1に記載のエッチング方法。
  3. 【請求項3】 前記処理ガスの前記CFと前記N
    流量比は実質的に, 1≦(Nの流量/CFの流量)≦4 であることを特徴とする,請求項1または2に記載のエ
    ッチング方法。
  4. 【請求項4】 気密な処理室内に処理ガスを導入し,前
    記処理室内に配置された基板上に形成されたエッチング
    対象膜に対するエッチング方法において,前記処理ガス
    は少なくともCとNとを含み,前記エッチング
    対象膜は,上層の有機ポリシロキサン膜及び下層のSi
    N膜からなることを特徴とする,エッチング方法。
  5. 【請求項5】 前記処理ガスは,Arをさらに含むこと
    を特徴とする,請求項4に記載のエッチング方法。
  6. 【請求項6】 前記処理ガスの前記Cと前記N
    の流量比は実質的に,10≦(Nの流量/C
    流量)であることを特徴とする,請求項4または5に記
    載のエッチング方法。
JP30342299A 1999-10-26 1999-10-26 プラズマエッチング方法 Expired - Fee Related JP4381526B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP30342299A JP4381526B2 (ja) 1999-10-26 1999-10-26 プラズマエッチング方法
KR1020000062233A KR100686761B1 (ko) 1999-10-26 2000-10-23 에칭 방법
US09/696,232 US7582220B1 (en) 1999-10-26 2000-10-26 Etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30342299A JP4381526B2 (ja) 1999-10-26 1999-10-26 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
JP2001127040A true JP2001127040A (ja) 2001-05-11
JP4381526B2 JP4381526B2 (ja) 2009-12-09

Family

ID=17920834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30342299A Expired - Fee Related JP4381526B2 (ja) 1999-10-26 1999-10-26 プラズマエッチング方法

Country Status (3)

Country Link
US (1) US7582220B1 (ja)
JP (1) JP4381526B2 (ja)
KR (1) KR100686761B1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002073674A1 (fr) * 2001-03-08 2002-09-19 Tokyo Electron Limited Procede permettant de graver un film isolant organique et procede double damascene
JP2005033027A (ja) * 2003-07-07 2005-02-03 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
EP1503405A3 (en) * 2003-08-01 2005-05-04 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP2006100628A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp プラズマ処理方法
JP2006165558A (ja) * 2004-12-03 2006-06-22 Applied Materials Inc 高エッチング速度を与える高供給低衝撃プラズマによる誘電エッチング法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置
US7875506B2 (en) 2004-10-13 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Etching method and manufacturing method of semiconductor device

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930000293B1 (ko) * 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
JPH01297141A (ja) * 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US5204276A (en) * 1988-12-06 1993-04-20 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US4946549A (en) * 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
US5262358A (en) * 1989-11-13 1993-11-16 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method for producing a silicate layer in an integrated circuit
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JPH07297276A (ja) * 1992-09-22 1995-11-10 At & T Corp 半導体集積回路の形成方法
JP3214186B2 (ja) * 1993-10-07 2001-10-02 三菱電機株式会社 半導体装置の製造方法
US5522957A (en) * 1993-12-22 1996-06-04 Vlsi Technology, Inc. Method for leak detection in etching chambers
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5605600A (en) * 1995-03-13 1997-02-25 International Business Machines Corporation Etch profile shaping through wafer temperature control
JPH09260384A (ja) * 1995-10-03 1997-10-03 Texas Instr Inc <Ti> 平坦な誘電体層の形成方法および多層配線パターン
US5835987A (en) * 1995-10-31 1998-11-10 Micron Technology, Inc. Reduced RC delay between adjacent substrate wiring lines
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US5948281A (en) * 1996-08-30 1999-09-07 Sony Corporation Microlens array and method of forming same and solid-state image pickup device and method of manufacturing same
KR100295518B1 (ko) * 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
JPH10268526A (ja) 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
TW351837B (en) * 1997-10-29 1999-02-01 United Semiconductor Corp Method for improving etching process
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3980156B2 (ja) * 1998-02-26 2007-09-26 株式会社半導体エネルギー研究所 アクティブマトリクス型表示装置
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
TW374224B (en) * 1998-04-03 1999-11-11 United Microelectronics Corp Dual damascene process for manufacturing low k dielectrics
US6013547A (en) * 1998-04-10 2000-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for creating a butt contact opening for a self-aligned contact structure
CN1300383A (zh) * 1998-04-29 2001-06-20 部鲁尔科学公司 得自纤维素粘合剂的快速蚀刻、热固性抗反射涂料
US6255180B1 (en) * 1998-05-14 2001-07-03 Cypress Semiconductor Corporation Semiconductor device with outwardly tapered sidewall spacers and method for forming same
US5972789A (en) * 1998-06-01 1999-10-26 Vanguard International Semiconductor Corporation Method for fabricating reduced contacts using retardation layers
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6107155A (en) * 1998-08-07 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for making a more reliable storage capacitor for dynamic random access memory (DRAM)
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
US6325861B1 (en) * 1998-09-18 2001-12-04 Applied Materials, Inc. Method for etching and cleaning a substrate
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6319815B1 (en) * 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
US6180975B1 (en) * 1998-10-30 2001-01-30 International Business Machines Corporation Depletion strap semiconductor memory device
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6221745B1 (en) * 1998-11-27 2001-04-24 Taiwan Semiconductor Manufacturing Company High selectivity mask oxide etching to suppress silicon pits
US7335717B2 (en) * 1998-12-18 2008-02-26 The Regents Of The University Of California Methods, compositions, and biomimetic catalysts for the synthesis of silica, polysilsequioxanes, polysiloxanes, non-silicon metalloid-oxygen networks, polymetallo-oxanes, and their organic or hydrido conjugates and derivatives
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6211051B1 (en) * 1999-04-14 2001-04-03 Lsi Logic Corporation Reduction of plasma damage at contact etch in MOS integrated circuits
US6177329B1 (en) * 1999-04-15 2001-01-23 Kurt Pang Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
JP3940546B2 (ja) * 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
JP4173307B2 (ja) 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路の製造方法
US6355567B1 (en) * 1999-06-30 2002-03-12 International Business Machines Corporation Retrograde openings in thin films
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6872665B1 (en) * 1999-07-12 2005-03-29 Texas Instruments Incorporated Process flow for dual damescene interconnect structures
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6040223A (en) * 1999-08-13 2000-03-21 Taiwan Semiconductor Manufacturing Company Method for making improved polysilicon FET gate electrodes having composite sidewall spacers using a trapezoidal-shaped insulating layer for more reliable integrated circuits
KR20010019643A (ko) * 1999-08-28 2001-03-15 윤종용 저유전율 절연막을 갖는 다층 금속배선의 형성방법
JP2001068455A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6461962B1 (en) * 1999-09-01 2002-10-08 Tokyo Electron Limited Etching method
JP2001110784A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6180518B1 (en) * 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6316351B1 (en) * 2000-05-31 2001-11-13 Taiwan Semiconductor Manufacturing Company Inter-metal dielectric film composition for dual damascene process
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6573196B1 (en) * 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6686296B1 (en) * 2000-11-28 2004-02-03 International Business Machines Corp. Nitrogen-based highly polymerizing plasma process for etching of organic materials in semiconductor manufacturing

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002073674A1 (fr) * 2001-03-08 2002-09-19 Tokyo Electron Limited Procede permettant de graver un film isolant organique et procede double damascene
JP2005033027A (ja) * 2003-07-07 2005-02-03 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
EP1503405A3 (en) * 2003-08-01 2005-05-04 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP2006100628A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp プラズマ処理方法
JP4537818B2 (ja) * 2004-09-30 2010-09-08 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7875506B2 (en) 2004-10-13 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Etching method and manufacturing method of semiconductor device
US8143168B2 (en) 2004-10-13 2012-03-27 Semiconductor Energy Laboratory Co., Ltd. Etching method and manufacturing method of semiconductor device
JP2006165558A (ja) * 2004-12-03 2006-06-22 Applied Materials Inc 高エッチング速度を与える高供給低衝撃プラズマによる誘電エッチング法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置

Also Published As

Publication number Publication date
KR100686761B1 (ko) 2007-02-23
US7582220B1 (en) 2009-09-01
KR20010040160A (ko) 2001-05-15
JP4381526B2 (ja) 2009-12-09

Similar Documents

Publication Publication Date Title
US20210134604A1 (en) Etching method
US20060021704A1 (en) Method and apparatus for etching Si
JPH09129612A (ja) エッチングガス及びエッチング方法
KR102363778B1 (ko) 에칭 방법
TW202145307A (zh) 電漿處理裝置及被處理體處理方法
US7507673B2 (en) Method for etching an object to be processed
US8716144B2 (en) Method for manufacturing semiconductor device
US6670276B1 (en) Plasma processing method
KR20190132948A (ko) 기판 처리 방법 및 기판 처리 장치
JP3808902B2 (ja) プラズマエッチング方法
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
WO2003077301A1 (fr) Procede de gravure et appareil de gravure
JP2001127040A (ja) エッチング方法
JP2000036484A (ja) プラズマ処理方法
US7608544B2 (en) Etching method and storage medium
US7501350B2 (en) Plasma processing method
JP2005123550A (ja) 異方性エッチング方法
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP2001118825A (ja) エッチング方法
US6746970B2 (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
JP2003086568A (ja) エッチング方法
JP2001060582A (ja) エッチング方法
JPH0845904A (ja) ドライエッチング方法および装置
US20050150863A1 (en) Etching method and etching apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090814

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090908

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090916

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121002

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151002

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees