JPH09260384A - 平坦な誘電体層の形成方法および多層配線パターン - Google Patents

平坦な誘電体層の形成方法および多層配線パターン

Info

Publication number
JPH09260384A
JPH09260384A JP8297021A JP29702196A JPH09260384A JP H09260384 A JPH09260384 A JP H09260384A JP 8297021 A JP8297021 A JP 8297021A JP 29702196 A JP29702196 A JP 29702196A JP H09260384 A JPH09260384 A JP H09260384A
Authority
JP
Japan
Prior art keywords
layer
metal
dielectric layer
deposited
wiring pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8297021A
Other languages
English (en)
Inventor
Mary H Marsden
エイチ.マースデン メアリー
T Ahlburn Byron
ティ.アールバーン バイロン
G Erz Karen
ジー.エルズ カレン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH09260384A publication Critical patent/JPH09260384A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 サブミクロン開口に対して平坦な金属間誘電
体層を形成する。 【解決手段】 スパッターされた金属配線を有する、ビ
アおよび配線等のサブミクロン間隙に対してHSQ・S
OGおよびコンフォーマルPETEOSを使用して金属
間誘電体(ILD)平坦化を実現するプロセスが提供さ
れる。本発明は、デジタル信号プロセッサ、メモリ、論
理回路等の製作に関するサブミクロンCMOSおよびB
iCMOSプロセス、最小限の2層配線を使用する特定
用途その他のプロセスで使用するのに特に適している。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はサブミクロン開口に
対して平坦な金属間誘電体層を形成するプロセスに関す
る。
【0002】
【発明の属する技術分野】半導体回路のジオメトリが
0.5ミクロン以下までに縮小されるにつれ、半導体回
路の配線パターンのための層間誘電体(IDL)膜に対
する要求が次第に苛酷なものとなってきている。将来予
想されるIDL膜は、間隙を高いアスペクト比で充填
し、現在使用されているIDL膜で必要とされる比誘電
率よりも低い比誘電率が要求されるものと思われる。現
在電気的絶縁に使用されている酸化膜により処理される
回路の動作速度を遅くする層間および層内容量が低減さ
れるため、膜の比誘電率を低減することは望ましいこと
である。この遅延効果は回路の複雑さが増すにつれて累
進的に厳しくなることが良く知られている。
【0003】さらに、集積回路装置の回路がより複雑か
つ稠密になるにつれ、金属層の数も増加しなければなら
ない。金属層の数が増加すると、下地金属ストライプお
よび支持層の外形に従った層間膜により連続する各層の
表面は一層平坦ではなくなる。連続する各層により、表
面の凹凸に寄与する非常に多くの金属層が存在する。従
って、2層以上を有する構造により平坦ではないトポグ
ラフィーが容赦なく形成され、不十分な金属の段差被覆
およびマイクロリソグラフィック描画プロセスによるき
びしい信頼度問題に直面することがある。これらの問題
を克服する可能性のある解決方法は誘電体層間膜の平坦
化プロセスである。
【0004】SOG(spin−on−glass)技
術により堆積される無機層および有機層の両方が微細多
層配線回路に広く使用されている。堆積された誘電体層
は従来エッチバックプロセスによりさらに表面が滑らか
にされている。しかしながら、この層エッチバックステ
ップでは、堆積される各層のプロセスに余分なステップ
が付加され、そのためコストが付加され製品歩留まりを
低下させる可能性がある。有機SOGは加熱により平坦
化して前記したエッチバックステップを回避することが
できるが、IDL平坦化の目的と妥協させられるような
プロセス関連問題を生じることがある。例えば、IDL
層をビア形成に使用されるホトレジストを除去するため
のOプラズマに曝すと、HOが層内へ吸収されるこ
とがあり、それは関連するメタラジー(metallu
rgy)にとって有害である。さらに、ビア内に露出さ
れた有機SOGは、ビア内に導電性金属がスパッターさ
れる時にビア内に高抵抗を生じるガス抜きされた水分や
他の物質を含んでいる。この問題は“ビアポイゾニン
グ”として知られ、多層金属配線を有する集積回路の間
隙充填および平坦化にメチルシロキサン系スピンオング
ラスを使用する時に生じる。ビア側壁に露出されたこの
ような有機SOGを有するビア内にCVD(chemi
cal vapor deposition)により堆
積されるタングステンの品質は容赦なく妥協されること
となり、完全に充填されたビアや抵抗の高いビアを生じ
たり、ビアの頂部から金属が成長して(ヒロック)他の
金属配線とショートしたりすることがある。有機SOG
の有機部分はタングステンソース材料と逆方向に反応す
ると考えられている。絶縁半導体層間膜を堆積する時に
遭遇する他の困難な問題については、1995年5月9
日に発行された米国特許第5,413,963号の明細
書および引用された参照事項に記載されている。
【0005】ビアポイゾニングの1つの一般的な解決方
法は、SOGの部分的なプラズマエッチバックを実施し
て、金属リードの側辺間もしくは側辺に沿ってのみSO
Gを残すことである。この方法では、プラズマエッチャ
ー内でエッチバックによりウエハ全体に半有機ガラスを
堆積させる必要がある。この手順は非常に遅く、非常に
汚く、ウエハ上にパーティクルが残りかつ均一ではな
い。他の方法では、SOG層が薄い場所へビアを移動さ
せたりあるいは慎重なキュア、エッチ、ビア焼成および
金属堆積手順と連係して、SOGの薄い被膜が使用され
るが、成功度は変動する。
【0006】
【発明が解決しようとする課題】IDL構造の決定は、
一般的に、欠陥レベル、プロセスの複雑度、電気的性能
および平坦化能力によって促される。前記したカテゴリ
ーはすべて流動性酸化物が有望な領域である。流動性酸
化物材料の流動性は、IDL処理が単純化され非常に優
れた間隙充填および平坦化性能が提供されるため魅力的
である。PETEOS(plasma enhance
d tetraethyloxysilane)酸化物
堆積および/もしくはエッチプロセスに較べて、0.7
μm技術で集積された流動性酸化物系IDLプロセスで
は優れた平坦化が明示された。しかしながら、HSQの
ウェットエッチレートが高いためにビアエッチングが複
雑化し、ビア側壁の所望する“シャンパングラス”スロ
ープはウェットエッチプロセスだけでは形成できない。
他のプロセスステップが必要となるため、デバイスの製
作に伴う費用、複雑度および時間が増大する。
【0007】
【課題を解決するための手段】スパッターされた金属配
線を有する、ビアおよび配線等の、サブミクロン間隙に
対してHSQ(hydrogen silsesqui
oxane)SOG(spinon glass)およ
びコンフォーマルPETEOS(plasmaenha
nced tetraethyloxysilane)
を使用してIDL(inter−metal diel
ectric)平坦化を実現するプロセスが提供され
る。本発明は、デジタル信号プロセッサ、メモリ、論理
回路の製作に関連するサブミクロンCMOSおよびBi
CMOSプロセス、および最小限の2層配線を使用する
特定用途その他のプロセスで使用するのに特に適してい
る。
【0008】
【発明の実施の形態】これから説明するプロセスステッ
プおよび構造は集積回路を製作するための完全なプロセ
スフローを形成するものではないことをご理解願いた
い。本発明は、従来技術で現在使用されている集積回路
製作技術と連係して実施することができ、広く実施され
ているプロセスステップの本発明を理解するのに必要な
プロセスステップだけがここに含まれている。本明細書
に含まれ製作中の集積回路の部分断面を表す図面は縮尺
には従っておらず、本発明の関連する特徴を図解するよ
うに描かれている。
【0009】次に図1Aから図1Gを参照すると、DR
AMの配線パターン上に平坦化された誘電体層を設ける
従来技術の手順のプロセスフローが図示されている。最
初に図1Aに示すように、タングステン等の配線金属を
堆積させて基板1上に配線パターン3が形成され、続い
てパターニングおよびエッチングが行われる。次に図1
Bに示すように、プラズマTEOS酸化物5の7,00
0Åの層が露出面上に堆積され、配線パターン部分間の
領域に凹みすなわち谷部7が残される。次に図1Cに示
すように、有機SOG8の6,200Åから6,400
Åの層が図1Bの構造上に堆積されて、キュアされある
いはエッチバックされた後でキュアされる。次に図1D
に示すように、図1Cの構造は、予めエッチバックされ
ていなければTEOS酸化物5が露出されるまでエッチ
バックされ、その後図1Eに示すように、構造上に集め
られた任意のポリマー9が酸素プラズマ処理により除去
される。次に図1Fに示すように、ウエハスクラブによ
り任意の残留ポリマーおよびごみが表面から除去され
る。次に図1Gに示すように、構造は410°Cの温度
でおよそ2.5分間焼成され、TEOS酸化物11の
5,000Åの層が表面上に堆積されて平坦化された表
面が得られる。
【0010】次に図2Aから図2Iを参照して、論理回
路の配線パターン上に平坦化誘電体層を形成する従来技
術の手順のプロセスフローを示す。最初に図2Aに示す
ように、アルミニウム等の配線金属を堆積することによ
り基板21上に配線パターン23が形成され、続いてパ
ターニングおよびエッチングが行われる。アルミニウム
は上層配線層とショートすることがあるヒロックを形成
することがあるため、DRAMの実施例で必要とされる
ものよりも厚い誘電体層を設ける必要がある。したがっ
て図2Bに示すように、露出された表面上にプラズマT
EOS酸化物25の3,000Åの層が堆積され、配線
パターン部分間の領域に凹みすなわち谷部27が残され
る。図2Cに示すように、続いて窒素プラズマ処理が行
われさらにオゾンTEOS酸化物29の3,000Åの
層が堆積され、その後で図2Dに示すように、プラズマ
TEOS酸化物31の4,000Åの層が堆積される。
その後の手順は図1Cから図1Gを参照してDRAMに
ついて前記したものと同じであり、それぞれ図2Eから
図2Iに対応している。
【0011】3層金属プロセスに関する本発明の詳細を
図3から図16に示す。特に図3Aを参照して、製作中
の半導体デバイスの一部50を示す。この製作段階にお
いて、部分50は誘電体層54の下層のシリコン基板5
2により構成されている。誘電体層54は約7,500
ÅのPETEOS(plasma enhancedt
etraethyloxysilane)の下の約6,
200Åの下層熱酸化物の3層構成とすることができ
る。熱酸化物とPETEOSと間にはインプラントチャ
ネリングを低減するための約300Åの酸化シラン層が
挟まれており、総誘電体層厚はおよそ14,100Åと
される。BPSG(Boro−Phospho−Sil
icate Glass)層56の形をとって、もう1
つの誘電体層が、例えばNを使用してワトキンス−ジ
ョンソンリアクタ内で処理する等の従来の方法で堆積さ
れ稠密化される。BPSGの組成は下記のようにするこ
とができる。ホウ素2.4〜3.2wt.%,リン5.
9〜6.25wt.%,およびバランスシリコン、ただ
し、本発明で他のBPSG組成を使用することも考えら
れる。好ましくはシリコンウエハがおよそ500RPM
の速度で回転している間に、HSQ層66がおよそ5,
700Åの厚さで形成される。層66は2,500RP
M等の高速で回転させることにより薄くすることができ
る。
【0012】“金属−1”として示す金属スタック58
がBPSG層の本来の位置に堆積される。本発明の好ま
しい局面において、金属−1スタックは3つのスパッタ
ーされた金属の垂直アレイにより構成され、それは、B
PSG層56に隣接して堆積されるチタンの500Åの
下地層、3,000Åの厚い上層Ti(10%)−W
層、およびAl−Si(1%)−Cu(0.5%)の約
4,600Åの最上層である。したがって、金属−1ス
タックの総厚はおよそ8,100Åとなる。スタックの
堆積に続いて、それは従来のホトリソグラフィック技術
を使用してパターン化されエッチングされる。リセス
(凹部)60として図示するように、金属“オーバーエ
ッチ”に伴うBPSG層56のおよそ2,000Åが除
去される。オーバーエッチにより約10,000Åを越
える有効金属スタック高さを作り出すことができ、後記
するように、それは後のプロセスで誘電体により平坦化
される。コンタクト62が参照符号58aで示す1つの
金属スタックを貫通し、かつBPSGおよび誘電体層5
6,54をそれぞれ貫通している。図示するように、コ
ンタクト62の内面64は3層金属スタック58からの
金属と一直線とされている。コンタクトの上面66は分
岐面に沿って構成されて、後記する方法でコンタクト充
填を容易にするのに好ましい所望の“シャンパングラ
ズ”もしくは“マーティニグラス”外形を確立する。コ
ンタクト62は初期ウェットエッチ、それに続くドライ
エッチ、さらにそれに続くパワー低減ソフトエッチを含
むさまざまな適切なプロセスの中の任意のプロセスによ
り形成することができる。
【0013】図4に示すように、コンフォーマルプラズ
マ増速TEOS酸化物64の1,000Åの層が構造5
4上に堆積される。その後、HSQ(hydrogen
Silsesquioxane)のおよそ5,700
Åの層66がスピンオンされ焼成される。HSQに関し
てその厚さは標準処理条件の下で露出シリコンウエハに
材料がスピンオンされるときに得られるHSQの厚さに
関係していることをご理解願いたい。パターン化された
ウエハ上のHSQの厚さは局部ウエハトポグラフィーの
関数であることがお判りと思われる。例えば、厚さは前
記した狭い間隔の金属配線間の5,700Åよりも大き
く、したがって開放領域は薄くされる。狭い絶縁リード
上に非常に僅かなHSQが残留するため、全体効果とし
て高度のトポグラフィー平滑化および短い範囲の平坦化
が行われ、広幅リードや狭い間隔の狭幅リード上の厚さ
は前記した厚さのかなりの小部分となることが予期でき
る。
【0014】HSQ膜66は常圧炉内でおよそ400°
Cの窒素環境でキュアされる。熱処理に続いて、デバイ
ス50を載せたウエハが(図示せぬ)PETEOS C
VDチャンバー内へ挿入され、後の層を堆積する前に、
ウエハは約8Torrのおよそ380°Cの窒素環境内
でおよそ60秒間焼成される。窒素熱処理に続いて、P
ETEOSの約6500Åの層68が堆積される。PE
TEOSの堆積は、それが共形(コンフォーマル)であ
り比較的低温(<400゜C)で堆積して、関連する金
属スタック内の顆粒形成を最小限に抑えられるために有
利である。
【0015】図5および図6を参照して、従来のホトリ
ソグラフィ技術によりウエハ50をパターン化してビア
の位置および/もしくは金属−1スタック58が後で形
成される金属−2スタックとのオーミックコンタクトを
形成する必要がある位置が画定される。パターニングは
図5にシャドーボックス70で示されている。簡単明瞭
とするために、図3および図4に示すコンタクト62は
図5以下の図面からは省かれている。
【0016】ホトレジストパターニングに続いて、ウエ
ハ50は焼成されてホトレジストが硬化される。その
後、ホトレジスト70はOlin Hunt表面活性剤
を含む6.5%HFおよび35%NHFとDHSを含
むCOEにより構成される緩衝酸化物エッチ水溶液によ
りエッチングされる。このエッチングにより約3,00
0〜5,000Åの上層PETEOS層68をほとんど
除去する等方性エッチプロファイルが得られる。HF溶
液内のウエハからHSQが急速に除去されるため、エッ
チングによりPETEOS層68が根絶されたり下地H
SQ層66が侵食されることのないように処理条件が制
御される。PETEOS68を貫通してHSQ層66内
へエッチングすることにより後の金属スパッタリング中
にビアの適切な金属被覆が防止されることをお判り願い
たい。前記した方法でPETEOS層68をエッチング
することにより、パターン化されたホトレジスト70の
下へ一部延在するウエル72が展開される。
【0017】ウエル72の形成に続いて、ウエハ50は
プラズマリアクタ内でCF/CHFの化学的性質を
使用してエッチングされビア72内の残留酸化物が除去
される。プラズマリアクタエッチングにより、実質的に
まっすぐな側壁74およびビアの開放端に所望する“マ
ーティニ”もしくは“シャンパン”グラス構成を有する
ビアが形成される。ビアエッチの後のビア底部の開口は
およそ1.1μmである。前記したプラズマリアクタエ
ッチングにより図7のリード58b等の関連する金属リ
ードの上面78の上層の誘電体層(PETEOS68お
よびHSQ66)の約0.85μm(8,500Å)が
貫通される。前記した方法でビアエッチングすることに
より、およそ0.77のビアアスペクト比が得られる。
【0018】前記した方法でビア72が形成された後
で、溶剤洗浄/リンス、プラズマアッシュ、溶剤洗浄/
リンスとプラズマアッシュ等の従来の方法によりホトレ
ジスト70が除去され、図8に示すような実質的にホト
レジストの無い構造とされる。最後のアッシュステップ
は、ビア側壁内のHSQにより吸収されている任意の溶
剤を除去するのに特に有効であることが判っている。各
溶剤ステップによりアシュランドACT−CMI DM
ACクリーンの洗浄が行われ、続いてIPAリンス/蒸
気乾燥が行われる。各アッシングは酸素プラズマ雰囲気
のバレルアッシャー内で実施される。
【0019】図9を参照して、“金属−2”として、第
2の金属スタック80の形成を示す。金属−2スタック
80を形成する前に、ウエハはアルゴンスパッターエッ
チングを受けて、ビア72の下面から任意の残留物およ
びアルミニウムが除去される。アルゴンスパッターエッ
チングは、約180ű20Åのシラン(SiH)酸
化物を除去するように試行される。さらに、ウエハは低
圧焼成される。金属−2層80はウエハ上にスパッター
される約2,000ÅのTi(10wt.%)−Wと約
4,600ÅのAl−Si(1wt.%)−Cu(0.
5wt.%)の組み合わせからなっている。図に示すよ
うに、スパッタープロセスによりビア72は部分的に金
属で充填されて、金属−1層58と金属−2層80間の
導電経路が作られる。しかしながら、後記するようにビ
ア72内には空隙や空洞が残り酸化物で充填される。金
属−2層80の最も厚い点における金属スタックの全高
はおよそ6,600Åである。
【0020】金属−2スタック80が形成されると、ウ
エハはホトリソグラフィーにより処理されて(図1
0)、金属−2スタック80のパターンが画定される。
パターニングは図10にシャドーボックス82で示す。
図11と同様に、金属−2スタック80のエッチングに
より約2,000ÅのPETEOS酸化物まで除去する
ことができ、平坦化を要する有効スタック高さをおよそ
8,000Åとすることができる。次に、従来の方法で
ホトレジスト82が除去され、金属−2スタック80お
よびPETEOS誘電体層68の露出部上に1,000
ÅのPETEOS層84が形成される。およそ5,70
0ÅのHSQ層86が1,000Åの誘電体層84上に
形成される。さらに、図4に関して前記したように、お
よそ6,500ÅのPETEOS層88がHSQ層86
上に堆積される。1,000ÅのPETEOS層84は
ビア72内に堆積され、空隙の残りはHSQ86により
充填される。
【0021】後記するように、誘電体層84〜88を形
成した後で、回路50は第2のビア層の形成に伴うホト
レジストによりパターン化される。図13を参照して、
パターン化されたホトレジスト90は焼成され、次に図
6に関して前記したようにエッチングされる。このエッ
チングにより、参照符号94で示すように、所望する
“マーティニ”もしくは“シャンパン”グラス開放端を
有する第2のビア92が形成される。次に、ウエハをプ
ラズマリアクタ内でエッチングしてビアエッチプロセス
が完了し(図14)、ビア92はPETEOS層88、
HSQ層86および下地PETEOS層84を完全に貫
通して金属−2スタック80の上面96まで形成され
る。
【0022】図15を参照して、金属−3スタック10
0の構造を示す。金属−3スタック100は、金属−2
スタック80について前記したような方法で堆積され
る。金属−3スタック100は約2,000ÅのTi
(10wt.%)−Wおよび約6,000ÅのAl−S
i(1wt.%)−Cu(0.5wt.%)により構成
され、点線ボックス102で示すように、ホトレジスト
によりパターン化される。金属−3スタック100のパ
ターニングおよびエッチングに続いて、ホトレジスト1
02が除去され、パシベーション層が堆積され、パター
ン化されエッチングされて、図16に示すような構造と
なる。
【0023】2重すなわち2層金属プロセスの詳細を図
17A〜図17Cに示す。図17Aを参照して、図3〜
図8に関して前記した3層処理ステージと同様な2重す
なわち2層金属処理に伴う製作中のデバイスを示す。第
2の金属スタック80’をスパッタリングする前に、ウ
エハをアルゴンスパッタエッチおよび低圧焼成に曝して
ビア72の底部からアルミニウムおよび残留物が除去さ
れる。金属−2スタック80’は約2,000ÅのTi
(10wt.%)−Wおよび約6,000ÅのAl−S
i(1wt.%)−Cu(0.5wt.%)により構成
されている。図からお判りのように、金属−2スタック
80’のスパッタプロセスによりビア72は部分的に金
属で充填されて、金属−1スタック58と金属−2スタ
ック80’間の導電経路が作り出される。金属−2層8
0’は前記したようにホトレジスト82によりパターン
化されかつエッチングされ、金属はオーバエッチされて
およそ2,000ÅのPETEOSが除去される(図1
7B)。図17Cに示すように、ホトレジスト82を除
去した後で、パシベーション酸化物層104が堆積され
パターン化されエッチングされて、金属−2スタックレ
ベルに平坦化された表面とされる。
【0024】前記した平坦化プロセスの利点として、優
れた間隙充填特性および局部平坦化が含まれる。さら
に、HSQは比誘電率が低い(<3.0)ため、さまざ
まなプロセスでHSQを使用することも有利である。前
記したプロセスから得られる平坦化の改善により金属ス
トリンガーによる欠陥が低減され、現在産業全体で使用
されている従来のレジストエッチバック(“REB”)
プロセスに較べて歩留まりが向上される。HSQは非炭
素系SOG化合物であるため、エッチバックは不要であ
る。したがって、HSQを介してエッチングされたビア
は、従来のILDプロセスのように、炭素のガス抜きか
ら生じる“ビアポイゾニング”に曝されることがない。
【0025】特定の実施例について本発明を説明してき
たが、当業者であればさまざまな変更や修正が自明であ
ると思われる。したがって、特許請求の範囲は従来技術
の観点からできるだけ広く解釈してこのような変更や修
正は全て含むものとする。以上の説明に関して更に以下
の項を開示する。
【0026】1. 配線パターン上に平坦な誘電体層を
形成する方法であって、(イ)その上に電気配線パター
ンを有する基板を設けるステップと、(ロ)前記配線パ
ターン上に第1の誘電体層を形成するステップと、
(ハ)無機シリコン含有組成により形成された前記第1
の誘電体層上に前記第1の層とは異なる第2のシリコン
含有誘電体層を形成するステップと、(ニ)前記第2の
層とは異なる第3の誘電体層を前記第2の誘電体層上に
形成するステップとを含む、平坦な誘電体層の形成方
法。
【0027】2. 第1項記載の方法であって、前記第
1の層はプラズマ発生TEOS酸化物である平坦な誘電
体層の形成方法。
【0028】3. 第1項記載の方法であって、前記シ
リコン含有組成はHSQである平坦な誘電体層の形成方
法。
【0029】4. 第2項記載の方法であって、前記シ
リコン含有組成はHSQである平坦な誘電体層の形成方
法。
【0030】5. 第1項記載の方法であって、前記第
3の層はプラズマ発生TEOS酸化物である平坦な誘電
体層の形成方法。
【0031】6. 第2項記載の方法であって、前記第
3の層はプラズマ発生TEOS酸化物である平坦な誘電
体層の形成方法。
【0032】7. 第3項記載の方法であって、前記第
3の層はプラズマ発生TEOS酸化物である平坦な誘電
体層の形成方法。
【0033】8. 第4項記載の方法であって、前記第
3の層はプラズマ発生TEOS酸化物である平坦な誘電
体層の形成方法。
【0034】9. 第1項記載の方法であって、前記第
2の層を形成するステップは、熱分解によりシリコン酸
化物へ変換することができる無機シリコン含有組成をス
テップ(ロ)からの構造上に堆積し、得られた構造を大
気圧以下の本質的に無湿気の本質的に純粋窒素環境に配
置し、次に前記シリコン含有組成をおよそ375゜Cか
ら425゜Cの温度でおよそ30分からおよそ90分加
熱して前記シリコン含有組成をシリコン酸化物へ変換す
るステップを含む、平坦な誘電体層の形成方法。
【0035】10. 第9項記載の方法であって、前記
シリコン含有組成はHSQである平坦な誘電体層の形成
方法。
【0036】11. 第9項記載の方法であって、前記
温度はおよそ400°Cであっておよそ45分間加熱さ
れる平坦な誘電体層の形成方法。
【0037】12. 第10項記載の方法であって、前
記温度はおよそ400°Cであっておよそ45分間加熱
される平坦な誘電体層の形成方法。
【0038】13. 第1項記載の方法であって、前記
第3の層を形成するステップは、ステップ(ハ)からの
構造を真空室内に配置し、およそ3Torrからおよそ
15Torrの窒素環境内でおよそ350°Cからおよ
そ430°Cの温度でおよそ30秒からおよそ90秒間
加熱し、次に前記構造上におよそ2000Åからおよそ
4000Åの厚さのプラズマ発生TEOS酸化物を堆積
するステップを含む、平坦な誘電体層の形成方法。
【0039】14. 第13項記載の方法であって、前
記温度はおよそ390゜Cであっておよそ60分間加熱
される平坦な誘電体層の形成方法。
【0040】15. 第13項記載の方法であって、前
記圧力はおよそ9Torrである平坦な誘電体層の形成
方法。
【0041】16. 第13項記載の方法であって、前
記厚さはおよそ3000Åである平坦な誘電体層の形成
方法。
【0042】17. 多層配線パターンであって、
(イ)その上に電気配線パターンを有する基板と、
(ロ)前記配線パターン上の第1の誘電体層と、(ハ)
シリコン酸化物を形成することができる無機シリコン含
有組成により形成された前記第1の誘電体層上の前記第
1の層とは異なる第2のシリコン含有誘電体層と、
(ニ)前記第2の誘電体層上の前記第2の層とは異なる
第3の誘電体層と、(ホ)前記第3の層上に堆積された
電気配線パターンとを具備する多層配線パターン。
【0043】18. 第17項記載のパターンであっ
て、前記シリコン含有組成がHSQである多層配線パタ
ーン。
【0044】19. 第17項記載のパターンであっ
て、前記第2の層の比誘電率はおよそ4.0よりも小さ
い多層配線パターン。
【0045】20. 第18項記載のパターンであっ
て、前記第2の層の比誘電率はおよそ4.0よりも小さ
い多層配線パターン。
【0046】21. スパッターされた金属配線を有す
る、ビアおよび配線等のサブミクロン間隙に対してHS
Q(hydrogen silsesquioxan
e)SOG(sin−on glass)およびコンフ
ォーマルPETEOS(plasma enhance
d tetraethyloxysilane)を使用
して金属間誘電体(ILD)平坦化を実現するプロセス
が提供される。本発明は、デジタル信号プロセッサ、メ
モリ、論理回路等の製作に関するサブミクロンCMOS
およびBiCMOSプロセス、最小限の2層配線を使用
する特定用途その他のプロセスで使用するのに特に適し
ている。
【図面の簡単な説明】
【図1】DRAMの配線パターン上に平坦化された誘電
体層を形成する従来技術の手順のプロセスフロー図。
【図2】論理回路の配線パターン上に平坦化された誘電
体層を形成する従来技術の手順のプロセスフロー図。
【図3】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図4】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図5】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図6】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図7】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図8】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図9】DRAMおよび論理回路の両方の製作に使用で
きる本発明に従った3層金属プロセスフロー図。
【図10】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図11】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図12】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図13】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図14】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図15】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図16】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った3層金属プロセスフロー図。
【図17】DRAMおよび論理回路の両方の製作に使用
できる本発明に従った2層金属プロセスフロー図。
【符号の説明】
1,21 基板 3,23 配線パターン 5,25,31,64 プラズマTEOS酸化物 7,27 谷部 8 有機SOG 11 TEOS酸化物 29 オゾンTEOS酸化物 50 回路 52 シリコン基板 54 誘電体層 56 BPSG層 58 金属−1層 62 コンタクト 66,86 HSQ層 68,84,88 PETEOS層 70,82,90,102 ホトレジスト 72,92 ビア 74 側壁 80 金属−2層 100 金属−3層 104 パシベーション酸化物
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カレン ジー.エルズ アメリカ合衆国 テキサス州フリスコ,ハ ーバー ロード 11201

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 配線パターン上に平坦な誘電体層を形成
    する方法であって、 (イ)その上に電気配線パターンを有する基板を設ける
    ステップと、(ロ)前記配線パターン上に第1の誘電体
    層を形成するステップと、(ハ)無機シリコン含有組成
    により前記第1の誘電体層上に前記第1の層とは異なる
    第2のシリコン含有誘電体層を形成するステップと、
    (ニ)前記第2の層とは異なる第3の誘電体層を前記第
    2の誘電体層上に形成するステップとを含む、平坦な誘
    電体層の形成方法。
  2. 【請求項2】 多層配線パターンであって、(イ)その
    上に電気配線パターンを有する基板と、(ロ)前記配線
    パターン上の第1の誘電体層と、(ハ)シリコン酸化物
    を形成することができる無機シリコン含有組成により形
    成された前記第1の誘電体層上の前記第1の層とは異な
    る第2のシリコン含有誘電体層と、(ニ)前記第2の誘
    電体層上の前記第2の層とは異なる第3の誘電体層と、
    (ホ)前記第3の層上に堆積された電気配線パターンと
    を具備する多層配線パターン。
JP8297021A 1995-10-03 1996-10-03 平坦な誘電体層の形成方法および多層配線パターン Pending JPH09260384A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US470595P 1995-10-03 1995-10-03
US004705 1995-10-03

Publications (1)

Publication Number Publication Date
JPH09260384A true JPH09260384A (ja) 1997-10-03

Family

ID=21712118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8297021A Pending JPH09260384A (ja) 1995-10-03 1996-10-03 平坦な誘電体層の形成方法および多層配線パターン

Country Status (3)

Country Link
EP (1) EP0790645A3 (ja)
JP (1) JPH09260384A (ja)
CN (1) CN1107968C (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010037892A (ko) * 1999-10-20 2001-05-15 박종섭 반도체 소자의 금속배선 형성방법
JP2002118170A (ja) * 2000-08-19 2002-04-19 Samsung Electronics Co Ltd 半導体装置及びその形成方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0810648A3 (en) * 1996-05-31 1997-12-29 Texas Instruments Incorporated Improvements in or relating to semiconductor devices
SG71147A1 (en) 1997-08-29 2000-03-21 Dow Corning Toray Silicone Method for forming insulating thin films
KR100300065B1 (ko) * 1999-01-20 2002-01-19 김영환 반도체 소자의 배선 형성방법
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
CN102024786B (zh) * 2009-09-09 2013-01-30 中芯国际集成电路制造(上海)有限公司 用于互连工艺中的半导体器件及其制造方法
CN102024788B (zh) * 2009-09-15 2013-01-30 中芯国际集成电路制造(上海)有限公司 用于互连工艺中的半导体器件及其制造方法
CN102219177A (zh) * 2010-04-14 2011-10-19 原相科技股份有限公司 改善钨沉积拓朴形貌的微机电系统光罩与方法
CN104810277B (zh) * 2014-01-26 2017-10-31 北大方正集团有限公司 一种晶圆表面平坦化工艺
US10597495B2 (en) 2016-02-19 2020-03-24 Dow Silicones Corporation Aged polymeric silsesquioxanes
CN108470715B (zh) * 2018-04-04 2020-08-28 华越微电子有限公司 一种双层布线平坦化加工工艺

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2026605C (en) * 1990-10-01 2001-07-17 Luc Ouellet Multi-level interconnection cmos devices including sog
US5145723A (en) * 1991-06-05 1992-09-08 Dow Corning Corporation Process for coating a substrate with silica
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5413963A (en) * 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010037892A (ko) * 1999-10-20 2001-05-15 박종섭 반도체 소자의 금속배선 형성방법
JP2002118170A (ja) * 2000-08-19 2002-04-19 Samsung Electronics Co Ltd 半導体装置及びその形成方法

Also Published As

Publication number Publication date
EP0790645A3 (en) 1998-01-28
CN1158002A (zh) 1997-08-27
CN1107968C (zh) 2003-05-07
EP0790645A2 (en) 1997-08-20

Similar Documents

Publication Publication Date Title
KR100288496B1 (ko) 집적회로구조체의구리오염방지방법
US5607773A (en) Method of forming a multilevel dielectric
US7338895B2 (en) Method for dual damascene integration of ultra low dielectric constant porous materials
US6319809B1 (en) Method to reduce via poison in low-k Cu dual damascene by UV-treatment
JP3778487B2 (ja) 金属キャパシタの形成方法
JP3813424B2 (ja) 半導体素子の配線構造の製造方法
JP4084513B2 (ja) デュアルダマシン(dualdamascene)の製造方法
JP3193335B2 (ja) 半導体装置の製造方法
JPH10223760A (ja) アルミニウム相互接続のプラズマ処理による空気ギャップ形成の方法
GB2329069A (en) Interconnections for semiconductor devices
US8957519B2 (en) Structure and metallization process for advanced technology nodes
US7714440B2 (en) Metal interconnection structure of a semiconductor device having low resistance and method of fabricating the same
JPH09260384A (ja) 平坦な誘電体層の形成方法および多層配線パターン
JP4401022B2 (ja) 半導体装置を製造する方法
KR20010030171A (ko) 집적 회로 및 이중 다마스커스 구조를 구비한 집적 회로를제조하는 방법
KR20010030170A (ko) 이중 물결무늬 구조를 포함하는 집적회로 제조방법
US6030891A (en) Vacuum baked HSQ gap fill layer for high integrity borderless vias
US5965938A (en) Integrated two-tiered via-plug to improve metal lithography # 4
JP2004523891A (ja) 低誘電率技術における銅バイア用のクロム接着層
EP0981161A2 (en) Semiconductor structure including a conductive fuse and process for fabrication thereof
JPH11312734A (ja) 半導体ウエハの絶縁層バイア内の銅層への接点を形成する方法及び構造
EP0810648A2 (en) Improvements in or relating to semiconductor devices
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
KR19980025800A (ko) 플래너 유전체층 형성 방법 및 다중 레벨 배선 패턴
US7361575B2 (en) Semiconductor device and method for manufacturing the same