JP2000252366A - Cmosデバイスのデュアル・ゲート構造を製造するプロセス - Google Patents

Cmosデバイスのデュアル・ゲート構造を製造するプロセス

Info

Publication number
JP2000252366A
JP2000252366A JP2000048754A JP2000048754A JP2000252366A JP 2000252366 A JP2000252366 A JP 2000252366A JP 2000048754 A JP2000048754 A JP 2000048754A JP 2000048754 A JP2000048754 A JP 2000048754A JP 2000252366 A JP2000252366 A JP 2000252366A
Authority
JP
Japan
Prior art keywords
region
doped
type
layer
conductivity type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000048754A
Other languages
English (en)
Other versions
JP3524461B2 (ja
Inventor
Bevueku Jose
ベヴェク ジョゼ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2000252366A publication Critical patent/JP2000252366A/ja
Application granted granted Critical
Publication of JP3524461B2 publication Critical patent/JP3524461B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 改善された特性を有するCMOSデバイスの
デュアル・ゲート構造を製造するプロセス、及び物品を
提供する。 【解決手段】 (a)n型とp型の領域と前述の領域上
に形成したデュアル・ゲート構造のためのゲート絶縁領
域とを含んでいる半導体の被加工物を提供し、(b)あ
る伝導型にドーピングした半導体の薄い層をゲート絶縁
領域上に形成し、(c)同じ伝導型にドーピングした被
加工物の領域上に位置するドーピングした半導体を選択
的に除去し、(d)逆の伝導型にドーピングした半導体
の薄い層を形成するステップを備えている。ドーピング
した層は、次に、化学機械研磨(CMP)によって平ら
に加工される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、集積回路の製造、特
に、CMOSデバイスのデュアル・ゲート構造の製造に
関している。
【0002】
【従来技術】種々のアプリケーションで、デュアル・ゲ
ート構造をもつCMOS(相補型酸化金属半導体)集積
回路が用いられている。図1はCMOS回路の製作に用
いる構造を示す。この構造はnドーピング領域102と
隣接するpドーピング領域104とをもつシリコン基板
100を備えている。ゲート絶縁領域108が領域10
2と104との上方に位置し、フィールド絶縁体106
が、領域102と104との境界上に位置して、それら
の分離状態を支援するように設けられていると共に、ゲ
ート絶縁領域108が領域102と104の上方に位置
している。ポリシリコン・ゲート領域110がゲート絶
縁体上に位置し、金属シリサイド領域112が、ポリシ
リコン・ゲート上に形成されて、導電性を高めている。
【0003】一般的に、デュアル・ゲート構造の製造
は、nドーピング領域102とpドーピング領域104
との境界上にフィールド絶縁領域106を形成すること
から始まる(絶縁材料は、電気的に絶縁する材料で、す
なわち、約106オームcm以上の抵抗率をもつ材料で
ある)。フィールド絶縁体106は、デバイスの逆のド
ーピングをされた領域を分離する。ゲート絶縁領域10
8が、次に、領域102と104との上に形成される。
ポリシリコン領域110が、ゲート絶縁体108とフィ
ールド絶縁体106との上に一般的に堆積される。nド
ーピング領域102上に位置するポリシリコン110の
部分はホウ素又はBF2のようなp型ドーパントを具備
し、pドーピング領域104上に位置するポリシリコン
110の部分は、リン又はヒ素のようなn型ドーパント
を備えている。耐熱性の金属シリサイド層は、シリサイ
ド・プロセス(金属シリサイドの直接的な堆積)又はサ
リサイド・プロセス(加熱工程の前に金属成分を堆積)
によって一般的に行われている。
【0004】
【発明が解決しようとする課題】このような構造の製造
における難点として、n型とp型のドーパントがポリシ
リコンより耐熱性金属シリサイドにおいて容易に拡散す
る傾向を示すことにある。従って、ドーパントは、例え
ば、ドーピング・シリコン領域102上に位置するポリ
シリコン110の領域から、横方向にシリサイド層11
2に拡散し、逆のドーピング領域104上に位置する領
域でポリシリコン110に戻る傾向を示す。従って、n
型ドーパントがpドーピング・ポリシリコン領域に移行
し、逆の場合は逆に移行する。この現象は、クロス・ド
ーピングと呼ばれ、スレッシュホールド電圧において好
ましくないシフトを導くので、CMOSデザインと動作
とに対して重要なパラメータである。更に、クロス・ド
ーピングの問題は、業界が小型のCMOSデバイスに向
けて進むにつれて益々厳しくなる。デバイスが小型にな
ればなるほど、スレッシュホールド電圧のような特性に
及ぼすクロス・ドーピングの影響が大きくなり、デバイ
スが近接すればするほど、隣接するデバイスと干渉する
ためにドーパントが必要とする横方向の移動の距離が短
くなる。
【0005】問題は、ポリシリコン110の注入領域に
おけるドーパントの分布状態からも生じる。好都合に、
最終的なデバイスの注入ドーパントは、下層のゲート絶
縁体108の近くに位置している。一般的に、しかし、
大多数のドーパントはポリシリコン110の最上部に近
接して位置している。そこで、アニールを用いて、ドー
パントをゲート絶縁体108に向けて拡散している。し
かし、この距離でドーパントを拡散するために必要なア
ニールの時間と温度とが、ポリシリコン110の逆のド
ーピング領域に向けてポリシリコン110の内部で横方
向にドーパントの一部を、しばしば不都合な状態で拡散
するので、クロス・ドーピングを導くことになる。この
ポリシリコン110内の横方向の拡散は、シリサイド層
の有無にかかわらず問題になる。このクロス・ドーピン
グのメカニズムは、隣接するデバイスの能動領域間の距
離の半分がポリシリコン110のドーピング領域の厚み
に相当する場合に特に大きな問題になる。そのうえ、薄
いゲート絶縁層を用いると、デバイスの特性が改善され
るが、これは、比較的高濃度のドーパント、好ましくは
約1020ドーパント/cm3以上のドーパントがゲート
絶縁体に隣接して位置する場合に限られる(従来技術で
は低いポリ空乏になる)。十分なドーパントが絶縁層に
隣接して位置していない場合に、薄いゲート絶縁体を用
いても、精々僅かにデバイス特性が改善されるにすぎな
い。
【0006】ドーパントの分布状態が、サリサイド・プ
ロセスで耐熱性金属シリサイドを形成する際に問題を生
じる場合もある。サリサイド・プロセスにおけるシリサ
イド層の成長は、シリサイドを形成する場合に、過度の
ドーパント又はドーパントに基づく析出物がポリシリコ
ン・ゲート構造の最上部領域に位置する場合に好ましく
ない影響を受ける。更に、ポリシリコン領域はサリサイ
ド・プロセスを用いる時に通常は薄いので、ゲート絶縁
体とのドーパント拡散距離がしばしば増えるので、下層
チャンネル領域の侵入を招き、しばしばデバイスに短絡
した状態を導く結果になる。
【0007】ポリシリコン層の内部に深くドーパントを
配置するプロセスが望ましいことになる。しかし、この
ような深い注入は実現することが難しい。一般的に、大
部分のドーパントは、ポリシリコン領域の最上部の表面
に近接して位置している。好ましくない影響を受けず
に、ポリシリコンに深くドーパントを注入することは難
しい。例えば、ドーパント、特にホウ素が、イオン注入
中にポリシリコンに浸透して、下層のシリコン基板に移
動するか、又はポリシリコンの或る結晶学的な方向に沿
って移動すること、すなわち、チャネリングと呼ばれる
現象が可能になる(両方のメカニズムが、ここでは全体
的に浸透と呼ばれる)。シリコン基板のチャンネル領域
におけるホウ素の存在は、スレッシュホールド電圧に好
ましくない影響を与える。従って、注入は、浸透を十分
に低減できる低いエネルギーで行われる。しかし、低い
注入エネルギーを用いると、濃度特性が、前述の問題を
十分に回避できる深さに達しないことが、しばしばおき
る。
【0008】改善されたプロセスが、“デバイス製造の
プロセス”という名称で1997年7月29日に提出さ
れた、出願者の同時係属出願S.N.08/902,0
44号に記載されている。本質的に、デバイスは、ゲー
ト絶縁領域上に第1の比較的薄い(例えば、約300〜
1000オングストローム)のアモルファス・シリコン
領域を最初に形成して製作される。n型ドーパントが、
通常は、基板のp型領域上で、第1のアモルファス・シ
リコン領域の第1の部分で注入される。n型ドーパント
は、実質的に全てのドーパントが、第1のアモルファス
・シリコン領域に残ると共に、下層の絶縁領域又は基板
に浸透しないように、好都合に注入される。p型ドーパ
ント種が、次に通常は、基板のn型領域上で、第1のア
モルファス・シリコン領域の第2の部分に注入される。
【0009】いちど希望したドーパントが第1のシリコ
ン領域に注入されると、第2のアモルファス・シリコン
(又はポリシリコン)領域が、第1のシリコン領域上で
形成され、基本的に注入ドーパントが埋め込まれること
になる。典型的には、耐熱性金属シリサイド層が第2の
アモルファス・シリコン領域上に形成される。デバイス
は、次に、当業者には周知の従来の処理技術に準じて構
造上に形成される。
【0010】埋込み注入層が形成すると、シリサイドを
介して生じるクロス・ドーピングが妨げられることにな
る。このような好ましくないクロス・ドーピングが起こ
るために、ドーパントは、第1のアモルファス・シリコ
ン領域のpドーピング領域から第2のアモルファス・シ
リコン領域を介して金属シリサイド層に拡散し、逆の極
性にドーピングされたアモルファス・シリコン領域上の
領域に向けてシリサイド層内で横方向に拡散し、第1の
アモルファス・シリコン領域の対抗してドーピングされ
た領域に向けて第2のアモルファス・シリコン領域を介
して戻り、下層のゲート絶縁電体に沿う領域に第1のア
モルファス・シリコン領域を介して移動しなければなら
ない。
【0011】このプロセスは、適正に作動している間
に、2つの注入工程を伴い、各々が別のフォトリソグラ
フィー・ステップを必要とする。各々フォトリソグラフ
ィーは、終了するまでに幾つか異なる動作を必要とす
る。より少ない作業で、クロス・ドーピングからの保護
を与える新しいプロセスを持つことは非常に効果的であ
ろう。
【0012】
【課題を解決するための手段】本発明によれば、CMO
Sデバイスのデュアル・ゲート構造を製造するプロセス
は、(a)n型とp型の領域と前述の領域上に形成した
デュアル・ゲート構造のためのゲート絶縁領域とを含ん
でいる半導体の被加工物を提供し、(b)ある伝導型に
ドーピングした半導体の薄い層をゲート絶縁領域上に形
成し、(c)同じ伝導型でドーピングされた被加工物の
領域上に位置する、ドーピングされた半導体を選択的に
除去し、(d)逆の導電型にドーピングした半導体の薄
い層を形成するステップを備えている。ドーピングした
層は、次に、化学機械研磨(CMP)によって平らに加
工される。ドーピングされていない半導体の更なる層
が、ドーピングした層を埋めるために任意にで適用でき
て、デバイスは通常の方式で金属シリサイドによってコ
ーティングして完成することができる。このプロセス
は、ただ1度のフォトリソグラフィー・ステップで完成
するので、幾つかの作業によるデバイスの製造を単純化
することができる。
【0013】
【実施例】本発明の優れた特質と様々な更なる特徴が、
添付の図面と共に述べる実施例から明らかになる。な
お、これらの図面は、本発明の概念を図示することを意
図しており発明の範囲を制限することを意図していない
ことは理解すべきである。図を参照すると、図1は製造
されたデュアル・ゲート構造の略断面図であり、従来技
術において既に述べたものである。
【0014】図2は、デュアル・ゲート構造を製造する
際のステップのフローチャートである。図2のブロック
Aに示すように、第1のステップは、n型とp型の領域
と、上方のゲート絶縁領域とを有する、デュアル・ゲー
ト構造のための被加工物を提供する。図3Aは、半導体
基板100と、n型領域102と、隣接するp型領域1
04と、絶縁領域106と108とを有する被加工物を
概略的に示す。絶縁領域106はフィールド絶縁体であ
り、領域108はゲート絶縁体である。フィールド絶縁
体106はn型領域102とp型領域104の境界上に
形成される。フィールド絶縁体106は、例えば、表面
分離領域又は溝分離領域を構成する。一般的に、フィー
ルド絶縁体106は浅い溝の分離領域であり、約120
0〜3000オングストロームの厚みを備えている。好
都合に半導体はシリコンである。これらの領域は、Pa
rilloなどの米国特許第4,435,596号に記
載するツイン・タブ・プロセスのように、従来技術で周
知の標準処理技術に準じて形成できて、その開示事項が
ここに引例によって包含されている。
【0015】ゲート絶縁領域108は、フィールド絶縁
体106でカバーされない、p型領域104とn型領域
102との部分の上に形成した一般的に二酸化シリコン
である。ゲート絶縁体108は標準処理技術に準じて形
成される。それは、二酸化シリコンから形成する時に、
好都合に約15〜約100オングストロームの厚みにな
る。フィールド絶縁体106とゲート絶縁体108との
組合せは、単一の絶縁材料領域と考えることができる。
【0016】図2のブロックBに示す次のステップで
は、ドーピングした半導体の薄い層をゲート絶縁領域上
に形成する。半導体はアモルファス・シリコンでよい。
それは、一般的に300〜2000オングストロームの
範囲の厚みである。好ましくは半導体は、ある伝導型に
イン・シツでドーピングされ、好ましくはn型であり、
1020〜1021原子/cm3の範囲の濃度で成長され
る。n型半導体は、被加工物の下層のp型領域と下層の
n型領域の両方の上に成長できる。イン・シツでドーピ
ングされたシリコンの成長において、初期に堆積された
シリコン(例えば、最初の50〜200オングストロー
ム)にはドーパントが実質的にないということは注目す
べきである。イン・シツでドーピングした半導体に薄い
層を成長させる方法は、従来技術で周知のことである。
例えば、1997年3月4日にB.Meyersonに
発行された米国特許第5,607,511号と、199
3年10月26日にD.Bailyに公布された米国特
許第5,256,566号を参照すること。その両方
が、ここで引例によって包含されている。代わりに、半
導体は、ドーピングされない層として成長させて、イオ
ン注入のように、後でドーピングすることもできる。
【0017】第3のステップ(ブロックC)では、同じ
伝導型にドーピングされた被加工物の領域上に位置さ
れ、成長してドーピングされた半導体を選択的に除去す
る。この例では、これは、p型領域上に位置するn型シ
リコンをマスクすると共に、ウェット・エッチング又は
反応イオン・エッチングを用いて、n型領域102上に
位置するn型半導体を選択的に除去する工程を含む。マ
スクは、従来のフォトリソグラフィー技術を用いて、フ
ォトレジストから好都合に形成される。
【0018】このステップは、n型領域上の全ての半導
体の除去を必要としないことに注目すべきである。ドー
パントを含有する層の上部だけ除去する必要性がある。
小さなドーピングされない厚みがゲート絶縁領域を保護
するために残ることになる。
【0019】図3Bは、イン・シツドーピングされた半
導体層30の最上部(ドーピング部)が被加工物のnド
ーピング領域上で除去されている構造を示す。pドーピ
ング領域104上の半導体層が、マスク31により除去
から保護される。
【0020】図2のブロックDに示す次のステップで
は、逆の伝導型、例えば、p型シリコン、にドーピング
した半導体の薄い層を表面上に形成する。予備のステッ
プで、マスク31が除去される。次に、新たにドーピン
グした層が、領域102と104の両方の上方に形成さ
れる。フォトリソグラフィーが必要ないことに注目すべ
きである。この層は、イン・シツで層を成長させるか又
はドーピングされない層を成長させて、ドーパントを注
入すると形成できる。通常の厚みは300〜2000オ
ングストロームの範囲であり、通常のドーピング濃度は
1020〜1021原子/cm3である。
【0021】図3Cは、pドーピング層32の形成後の
被加工物の構造を示す。
【0022】第5のステップ(ブロックE)で表面を平
らにする。これは、化学機械研磨(CMP)によって好
都合に行われる。
【0023】図3Dは、平らに加工した後の構造を示
す。平らに加工することにより、フォトリソグラフィー
工程なしに領域104上に位置するpドーピング層32
の部分が効果的に除去される。平らに加工した後で、領
域102と104の上方に位置する領域上方のドーピン
グ層30と32とが、各々逆の伝導型になる。
【0024】オプションの最終ステップ(ブロックF)
で、2つのドーピング層上に半導体の更なるドーピング
しない層を成長させて、ゲート電極の残りの厚みを形成
する。典型的にはドーピングされないシリコンの厚み
は、100〜500オングストロームの範囲である。
【0025】図3Eは、このステップの後の構造を示
す。ゲート絶縁体上でドーピングした半導体層30と3
2が、逆の伝導型の結晶領域上に位置し、ドーピングさ
れない半導体層33が、層30と32の両方に形成され
ている。
【0026】デバイスは、次に、従来の方式によって完
成される。これは、典型的には、層33を分割して、別
のゲート電極を形成し、金属シリサイド層をシリコン・
ゲート上に形成して、導電性を高めている。
【0027】図1は最終的な構造を示す。耐熱性金属シ
リサイド層112が、スパッタリング又は化学蒸着のよ
うな標準処理技術を用いて、成長したシリコン領域11
0(図3Dの33)上に任意で形成される。適切な耐熱
性金属シリサイドの事例では、タングステン・シリサイ
ドやタンタル・シリサイドやコバルト・シリサイドとを
含んでいる。好都合に、耐熱性の金属シリサイド層は、
約800〜約2000オングストロームの厚みを備えて
いる。耐熱性金属シリサイド層に窒素を導入することも
効果的である。窒素をイオン注入する際に、注入は、望
ましくは(厚みに基づいて)約10〜50keV、更に
望ましくは30keVのエネルギーで、約1×1015
約2×1015原子/cm2のドーパント注入量で行われ
る。窒素は、シリサイド層にホウ素原子を滞留させるの
で、ホウ素のクロス・ドーピングと横方向の拡散との減
少を支援することになる。1998年12月22日に
J.Bevkなどに発行された米国特許第5,851,
922号を参照すること。サリシド・プロセスでシリサ
イド層を形作ることも可能である。耐熱性金属シリサイ
ド以外の金属層も可能である。
【0028】好都合に、半導体がシリコンである時に、
アニールが、第2のアモルファス・シリコン領域33と
第1のアモルファス・シリコン領域30と32とを再結
晶化するために、すなわち、領域30と32と33とを
ポリシリコンにするために、第2のアモルファス・シリ
コン領域33の形成後に行われる。アニールは、第2の
シリコン領域33の形成後に、シリサイド層34の形成
後に、又はシリサイド層の窒素注入後にも実施できる。
アニールは、約580〜約650℃の温度で、約1〜約
5時間、窒素雰囲気中で効果的に行われる。更に好都合
に、アニールは、約650℃で、約3時間、窒素雰囲気
中で行われる。
【0029】最終的な構造が、従来技術で周知の標準手
順に準じて、基板のp領域とn領域上にゲート・スタッ
クを形作る処理ステップに送られる。好都合に、このよ
うなステップは、ゲート・スタックの形成後に高速熱ア
ニールを含んでいる。高速熱アニールは、ウェーハが約
900〜約1050℃の温度に2〜約10秒で達するよ
うに効果的に行われる。更に好都合に、ウェーハは10
00℃の温度に5秒で達する。高速熱アニールは、デバ
イスのドーピング領域にドーパントの好ましい分布状態
を実現するうえで貴重な方式である。
【0030】耐熱性シリサイド層34の形成と注入に続
く一般的な処理ステップとして、次のステップがある。 - ゲート・ハード・マスクの堆積である。マスクは、例
えば、テトラエチル・オルトシリケートのプラズマ蒸着
(PETEOS)で堆積した酸化シリコン、プラズマ化
学蒸着(PECVD)で形成した窒化層、又はスピン・
オン・ガラス(SOG)層から形成される。 - ゲート・ハード・マスクの選択的エッチングを可能に
するゲート・フォトレジストの形成と、ハード・マスク
のエッチングと、フォトレジストの除去 - 耐熱性シリサイド層34とシリコン領域31、32、
33とのエッチング - 低ドープ・ドレイン領域(LDD)の注入を可能にす
るフォトレジストの形成と、LDDの注入と、フォトレ
ジストの除去 - 絶縁体、例えば、ゲート・スペーサ形成のためのPE
TEOSによる酸化シリコンの堆積と、絶縁体のアニー
ルと、スペーサのエッチング - n型ソースとドレインとの注入を可能にするフォトレ
ジストの形成と、n型ソースとドレインとの注入と、フ
ォトレジストの除去 - p型ソースとドレインとの注入を可能にするフォトレ
ジストの形成と、p型ソースとドレインとの注入と、フ
ォトレジストの除去。
【0031】高速熱アニールは、p型ソースとドレイン
との注入後に効果的に行われる。サリサイド・プロセス
を用いる場合に、このプロセスはn型とp型のソースと
ドレインとの形成後に一般的に行われ、高速熱アニール
はポリシリコン・ゲート構造上に耐熱性金属を堆積する
前に一般的に行われる。
【0032】本発明は、次に示す事例から更に明確にな
る。 事例1 シリコン・ウェーハが、薄くドーピングしたn型とp型
との領域を有するシリコン基板を形成するために、技術
的に良く知られた従来法を用いて処理されていた。フィ
ールド絶縁体領域が、n型とp型の領域を電気的に分離
するために、浅い溝の分離(STI)技術を用いて、基
板に関して選択された部分の上に成長していた。シリコ
ン・オキシナイトライド(oxynitride)の30オングスト
ローム・ゲート絶縁層が、850℃のN2O中に加熱酸
化によりフィールド絶縁領域でカバーされない基板の部
分に形成された。未ドープ・アモルファス・シリコンの
100オングストロームの厚みの層が、イン・シツでリ
ンでドーピングした(Si 26とPH3)膜の400オ
ングストロームの厚みが堆積する前に、低圧の化学蒸着
によって、フィールド、及びゲート絶縁体層上に形成さ
れた。フォトレジストの層が、標準的な手順に従って、
シリコン上に形成され、基板の薄くドーピングしたn型
領域上に位置するシリコンの部分を露出をするためにパ
ターン化された。露出部分は、ポリシリコン層のドーピ
ング部が除去されるまで反応性イオンエッチングされ
た。フォトレジストが除去された。500オングストロ
ームの厚みを有する第2のアモルファス・シリコン層
が、低圧の化学蒸着で形成され、ホウ素原子(エネルギ
ー:2KeV、分量:3×1015/cm2)が注入され
た。次に、ウェーハは、シリコンの厚みが、約500〜
600オングストロームに減少するまで、RODEL
SDE3000ポリシリコン・スラリーを用いて平らに
加工された。この加工は、堆積時の低圧化学処理による
更なる500オングストロームの厚みの未ドープ・アモ
ルファス・シリコンの堆積前に行われた。次に、アニー
ルが、アモルファス・シリコンを結晶にするために、窒
素雰囲気中に650℃で3時間行われた。アニール後
に、1000オングストロームのタングステン・シリサ
イド層が、スパッタリングによって第2のアモルファス
・シリコン領域上に形成されて、窒素が30keVと1
×1015原子/cm2のドーズ量でシリサイドに注入さ
れた。前述の標準処理ステップに準じて、ゲート・スタ
ックが形成された。特に、1500オングストロームの
二酸化シリコンのハード・マスクがPETEOSによっ
てシリサイド層上に形成され、マスクがエッチングさ
れ、。シリサイドとシリコン領域とがエッチングされ、
ヒ素の低ドープドレイン領域に注入され、。二酸化シリ
コンの層がゲート・スペーサに対して形成されてスペー
サがエッチングされ、アニールが二酸化シリコンのスペ
ーサの密度を高めるために酸素中で30分間750℃で
行われ、n型のドレインとソースとが注入され、かつp
型のドレインとソースとが注入された。次に、ウェーハ
の高速熱アニールが行われ、ウェーハが、RTAツール
で5秒間1000℃で加熱された。
【0033】前述の実施例は、本発明の原理の適用事例
を表現できる数多くの可能性のある実施例の一部だけ図
示していることを理解すべきである。種々の及び変更さ
れた他の構成が、本発明の趣旨と範囲とを逸脱せずに当
業者が考案できる。
【0034】
【発明の効果】本発明によれば、改善されたクロスドー
ピング特性を有するCMOSデバイスのデュアルゲート
構造を、より少ない作業工程で製造するとができる。
【図面の簡単な説明】
【図1】従来技術を示し、CMOSデバイスを製作する
ための一般的な構造の略断面図である。
【図2】本発明に準じてデュアル・ゲート構造を製造す
る際に関係するステップのフローチャートの図である。
【図3A】図2の製造プロセスの種々の段階におけるデ
ュアル・ゲート構造を示す図である。
【図3B】図2の製造プロセスの種々の段階におけるデ
ュアル・ゲート構造を示す図である。
【図3C】図2の製造プロセスの種々の段階におけるデ
ュアル・ゲート構造を示す図である。
【図3D】図2の製造プロセスの種々の段階におけるデ
ュアル・ゲート構造を示す図である。
【図3E】図2の製造プロセスの種々の段階におけるデ
ュアル・ゲート構造を示す図である。
【符号の説明】
30 (ドーピングした)半導体層 31 マスク 32 (ドーピングした)半導体層 33 (非ドーピング)半導体層 100 半導体基板 102 n型領域 104 p型領域 106 絶縁領域(フィールド絶縁体) 108 ゲート絶縁領域(ゲート絶縁体)

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 CMOSデバイスのデュアル・ゲート構
    造を製造するプロセスであって、 第1の伝導型の第1の領域と、第2の伝導型の隣接する
    第2の領域と、上方に位置するゲート絶縁領域とを具備
    する表面を有する半導体基板を備えた半導体の被加工物
    を提供し、 前記の第1と第2の領域上に位置する前記のゲート絶縁
    領域上に、前記の第1の伝導型にドーピングした半導体
    の第1の層を形成し、 前記の第1の領域上に位置するドーピング層を選択的に
    除去し、 前記の第2の伝導型にドーピングした半導体の第2の層
    を、前記の第1と第2の領域上に形成し、 前記の第1と第2の層を平らに加工する工程を備えてい
    る、前記のプロセス。
  2. 【請求項2】 前記の第1の層がイン・シツでドーピン
    グした層を成長させることによって形成される、請求項
    1記載の方法。
  3. 【請求項3】 平らに加工する工程の後に、被加工物上
    に半導体のドーピングされない層を成長させる工程を更
    に備えている、請求項1記載の方法。
  4. 【請求項4】 前記の平らな加工が化学機械研磨によっ
    て行われる、請求項1記載の方法。
  5. 【請求項5】 前記の半導体がシリコンを含有し、前記
    の第1の伝導型がn型の伝導型であり、前記の第2の伝
    導型がp型の伝導型である、請求項1記載のプロセス。
  6. 【請求項6】 ドーピングされない半導体の層の上に金
    属シリサイドを形成するステップを更に備えている、請
    求項3記載のプロセス。
JP2000048754A 1999-02-26 2000-02-25 Cmosデバイスのデュアル・ゲート構造を製造するプロセス Expired - Fee Related JP3524461B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/259,028 US6566181B2 (en) 1999-02-26 1999-02-26 Process for the fabrication of dual gate structures for CMOS devices
US09/259028 1999-02-26

Publications (2)

Publication Number Publication Date
JP2000252366A true JP2000252366A (ja) 2000-09-14
JP3524461B2 JP3524461B2 (ja) 2004-05-10

Family

ID=22983202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000048754A Expired - Fee Related JP3524461B2 (ja) 1999-02-26 2000-02-25 Cmosデバイスのデュアル・ゲート構造を製造するプロセス

Country Status (3)

Country Link
US (1) US6566181B2 (ja)
JP (1) JP3524461B2 (ja)
KR (1) KR100821494B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288499A (ja) * 2007-05-21 2008-11-27 Panasonic Corp 半導体装置及びその製造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6563482B1 (en) * 1999-07-21 2003-05-13 Semiconductor Energy Laboratory Co., Ltd. Display device
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
JP3872071B2 (ja) * 2004-05-19 2007-01-24 エルピーダメモリ株式会社 半導体装置及びその製造方法
KR100560819B1 (ko) * 2004-08-02 2006-03-13 삼성전자주식회사 피모스를 구비하는 반도체 소자의 형성 방법
DE102004052581B4 (de) * 2004-10-29 2008-11-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer CMOS-Gatestruktur mit einem vordotierten Halbleitergatematerial
US7074664B1 (en) * 2005-03-29 2006-07-11 Freescale Semiconductor, Inc. Dual metal gate electrode semiconductor fabrication process and structure thereof
DE102008021563B4 (de) * 2008-04-30 2012-05-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Verringern von Defekten von Gatestrukturen von CMOS-Bauelementen während der Reinigungsprozesse durch Modifizieren eines parasitären PN-Übergangs
US8470700B2 (en) * 2010-07-22 2013-06-25 Globalfoundries Singapore Pte. Ltd. Semiconductor device with reduced contact resistance and method of manufacturing thereof

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622573A (en) * 1983-03-31 1986-11-11 International Business Machines Corporation CMOS contacting structure having degeneratively doped regions for the prevention of latch-up
KR920010062B1 (ko) 1989-04-03 1992-11-13 현대전자산업 주식회사 반도체 장치의 실리사이드 형성방법
JPH0758701B2 (ja) 1989-06-08 1995-06-21 株式会社東芝 半導体装置の製造方法
KR930000613B1 (ko) * 1989-10-25 1993-01-25 금성일렉트론 주식회사 2중 폴리사이드 게이트 씨모스 제조방법
US5258645A (en) * 1990-03-09 1993-11-02 Fujitsu Limited Semiconductor device having MOS transistor and a sidewall with a double insulator layer structure
US5355010A (en) * 1991-06-21 1994-10-11 Matsushita Electric Industrial Co., Ltd. Semiconductor device with a dual type polycide layer comprising a uniformly p-type doped silicide
TW201322B (ja) * 1991-06-26 1993-03-01 Gen Electric
US5147820A (en) 1991-08-26 1992-09-15 At&T Bell Laboratories Silicide formation on polysilicon
JP2953897B2 (ja) * 1992-08-10 1999-09-27 シャープ株式会社 半導体装置の製造方法
EP0617461B1 (en) * 1993-03-24 1997-09-10 AT&T Corp. Oxynitride dielectric process for IC manufacture
KR0135166B1 (ko) 1993-07-20 1998-04-25 문정환 반도체장치의 게이트 형성방법
US5411899A (en) * 1993-10-13 1995-05-02 At&T Corp. Transistor fabrication of a twin tub using angled implant
JP2522188B2 (ja) * 1993-11-18 1996-08-07 日本電気株式会社 半導体装置の製造方法
US5413944A (en) * 1994-05-06 1995-05-09 United Microelectronics Corporation Twin tub CMOS process
US5480828A (en) * 1994-09-30 1996-01-02 Taiwan Semiconductor Manufacturing Corp. Ltd. Differential gate oxide process by depressing or enhancing oxidation rate for mixed 3/5 V CMOS process
JPH08102500A (ja) * 1994-09-30 1996-04-16 Ricoh Co Ltd 半導体装置および半導体装置の製造方法
JPH08264660A (ja) 1995-03-24 1996-10-11 Nec Corp 半導体装置の製造方法
US5573963A (en) * 1995-05-03 1996-11-12 Vanguard International Semiconductor Corporation Method of forming self-aligned twin tub CMOS devices
US5759886A (en) 1995-09-28 1998-06-02 National Semiconductor Corporation Method for forming a layer of metal silicide over the gates of a surface-channel CMOS device
KR970030496A (ko) * 1995-11-03 1997-06-26 김주용 상보형 모스트랜지스터의 이중 게이트 제조방법
JP3770954B2 (ja) 1995-11-13 2006-04-26 エイ・ティ・アンド・ティ・コーポレーション 装置の製造方法
US5648287A (en) * 1996-10-11 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of salicidation for deep quarter micron LDD MOSFET devices
KR100233707B1 (ko) * 1996-10-26 1999-12-01 윤종용 듀얼 게이트 씨모오스 트랜지스터의 제조방법
US6017787A (en) * 1996-12-31 2000-01-25 Lucent Technologies Inc. Integrated circuit with twin tub
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
KR100244249B1 (ko) * 1997-05-30 2000-02-01 김영환 반도체 소자의 제조방법
US5918133A (en) * 1997-12-18 1999-06-29 Advanced Micro Devices Semiconductor device having dual gate dielectric thickness along the channel and fabrication thereof
US6156591A (en) * 1998-01-16 2000-12-05 Texas Instruments - Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US5963802A (en) * 1998-01-28 1999-10-05 Texas Instruments - Acer Incorporated CMOS process for forming planarized twin wells
US5929493A (en) * 1998-03-31 1999-07-27 Texas Instruments--Acer Incorporated CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6121124A (en) * 1998-06-18 2000-09-19 Lucent Technologies Inc. Process for fabricating integrated circuits with dual gate devices therein

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288499A (ja) * 2007-05-21 2008-11-27 Panasonic Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US20020028542A1 (en) 2002-03-07
US6566181B2 (en) 2003-05-20
KR100821494B1 (ko) 2008-04-11
JP3524461B2 (ja) 2004-05-10
KR20000062635A (ko) 2000-10-25

Similar Documents

Publication Publication Date Title
US6110787A (en) Method for fabricating a MOS device
KR100372641B1 (ko) 다마신 공정을 이용한 반도체 소자의 제조방법
EP1433196B1 (en) Apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6617212B2 (en) Semiconductor device and method for fabricating the same using damascene process
US7009258B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US20020048910A1 (en) Method and apparatus for forming a semiconductor device utilizing a low temperature process
KR100488546B1 (ko) 트랜지스터의 제조방법
JP4239188B2 (ja) Mosfet素子の製造方法
US7169659B2 (en) Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
JP2002539638A (ja) Mis電界効果型トランジスタの製造方法
JP3524461B2 (ja) Cmosデバイスのデュアル・ゲート構造を製造するプロセス
KR20030053313A (ko) 플래쉬 메모리 셀의 제조 방법
KR20050067534A (ko) 플러그이온주입을 포함하는 반도체소자의 콘택 형성 방법
US7217625B2 (en) Method of fabricating a semiconductor device having a shallow source/drain region
JP3529634B2 (ja) デバイスの製造方法
KR100596772B1 (ko) 다마신 공정을 이용한 텅스텐 게이트 모스팻 소자의제조방법
KR100690910B1 (ko) 샐리사이드 공정 및 이를 사용한 반도체 소자의 제조 방법
US6500740B1 (en) Process for fabricating semiconductor devices in which the distribution of dopants is controlled
KR100465056B1 (ko) 반도체 소자의 제조 방법
JP2000082815A (ja) 半導体装置および半導体装置を製造する方法
KR100861282B1 (ko) 반도체소자의 제조 방법
KR100752191B1 (ko) Mosfet의 제조 방법
KR0170901B1 (ko) 반도체 소자의 초저접합 형성방법
CN113394101A (zh) 一种改善应力薄膜覆盖均匀性的nmos器件制造方法及其nmos器件
US20080150038A1 (en) Method of fabricating semiconductor device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040212

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090220

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100220

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100220

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110220

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees