IN2015DN00369A - - Google Patents

Download PDF

Info

Publication number
IN2015DN00369A
IN2015DN00369A IN369DEN2015A IN2015DN00369A IN 2015DN00369 A IN2015DN00369 A IN 2015DN00369A IN 369DEN2015 A IN369DEN2015 A IN 369DEN2015A IN 2015DN00369 A IN2015DN00369 A IN 2015DN00369A
Authority
IN
India
Prior art keywords
semiconductor
seal
seal layer
semiconductor substrate
wiring
Prior art date
Application number
Other languages
English (en)
Inventor
Shoko Ono
Yasuhisa Kayaba
Hirofumi Tanaka
Kazuo Kohmura
Tsuneji Suzuki
Original Assignee
Mitsui Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsui Chemicals Inc filed Critical Mitsui Chemicals Inc
Publication of IN2015DN00369A publication Critical patent/IN2015DN00369A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/02Polyamines
    • C08G73/0206Polyalkylene(poly)amines
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/02Polyamines
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02093Cleaning of porous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
IN369DEN2015 2012-07-17 2013-07-12 IN2015DN00369A (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012158979 2012-07-17
JP2013039944 2013-02-28
PCT/JP2013/069225 WO2014013956A1 (fr) 2012-07-17 2013-07-12 Dispositif à semi-conducteur, son procédé de fabrication et fluide de rinçage

Publications (1)

Publication Number Publication Date
IN2015DN00369A true IN2015DN00369A (fr) 2015-06-12

Family

ID=49948785

Family Applications (1)

Application Number Title Priority Date Filing Date
IN369DEN2015 IN2015DN00369A (fr) 2012-07-17 2013-07-12

Country Status (10)

Country Link
US (1) US9780008B2 (fr)
EP (1) EP2876675B1 (fr)
JP (1) JP5968438B2 (fr)
KR (1) KR101682836B1 (fr)
CN (1) CN104412376B (fr)
IL (1) IL236569B (fr)
IN (1) IN2015DN00369A (fr)
SG (2) SG10201607504UA (fr)
TW (1) TWI602246B (fr)
WO (1) WO2014013956A1 (fr)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107275309B (zh) * 2011-12-20 2021-02-09 英特尔公司 保形低温密闭性电介质扩散屏障
KR101747582B1 (ko) * 2013-03-27 2017-06-14 미쓰이 가가쿠 가부시키가이샤 복합체의 제조 방법 및 조성물
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
SG11201700576UA (en) 2014-08-08 2017-02-27 Mitsui Chemicals Inc Sealing composition and method of manufacturing semiconductor device
JP6438747B2 (ja) * 2014-11-27 2018-12-19 三井化学株式会社 複合体の製造方法
CN107004599B (zh) 2014-12-17 2020-02-18 三井化学株式会社 基板中间体、贯通通孔电极基板及贯通通孔电极形成方法
KR101926294B1 (ko) * 2015-03-30 2018-12-06 미쓰이 가가쿠 가부시키가이샤 매입 평탄화막의 제조 방법 및 전자 디바이스의 제조 방법
JP6427690B2 (ja) * 2015-11-16 2018-11-21 三井化学株式会社 半導体装置用の膜を生成するための組成物、半導体装置用の膜を生成するための組成物の製造方法、半導体用部材の製造方法、半導体用工程材の製造方法及び半導体装置
US10340182B2 (en) * 2015-11-30 2019-07-02 International Business Machines Corporation Enhanced via fill material and processing for dual damscene integration
KR102084164B1 (ko) * 2018-03-06 2020-05-27 에스케이씨 주식회사 반도체 공정용 조성물 및 반도체 공정
KR102674132B1 (ko) 2018-12-21 2024-06-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP2023131657A (ja) * 2022-03-09 2023-09-22 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4933120B1 (fr) 1968-06-21 1974-09-04
DK0512026T3 (da) 1990-01-25 1995-03-20 Mobil Oil Corp Syntetisk porøst krystallinsk materiale, dets fremstilling og anvendelse
DE4026978A1 (de) 1990-08-25 1992-02-27 Bayer Ag Auf traegern angebrachte ein- oder mehrlagige schichtelemente und ihre herstellung
EP0534304A1 (fr) 1991-09-21 1993-03-31 Hoechst Aktiengesellschaft Polyéthelèneimines à substitution cycloalcoylée et leurs utilisations comme agents hypolipémiants
TW387936B (en) * 1997-08-12 2000-04-21 Kanto Kagaku Washing solution
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
JP2001213958A (ja) 2000-02-03 2001-08-07 Nippon Shokubai Co Ltd エチレンイミン重合体およびその製造方法
FR2819635B1 (fr) * 2001-01-18 2004-01-23 St Microelectronics Sa Procede de fabrication de reseaux d'interconnexions
JP3648480B2 (ja) 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
US7442756B2 (en) * 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
TWI273090B (en) 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US20040266185A1 (en) * 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
JP4447433B2 (ja) 2004-01-15 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US20060046044A1 (en) 2004-08-24 2006-03-02 Lee Chung J Porous composite polymer dielectric film
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
US7338893B2 (en) * 2005-11-23 2008-03-04 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
JP2007161784A (ja) * 2005-12-09 2007-06-28 Fujifilm Corp 絶縁膜、化合物、膜形成用組成物及び電子デバイス
JP2008010630A (ja) 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法
JP2008047831A (ja) 2006-08-21 2008-02-28 Mitsubishi Gas Chem Co Inc ドライエッチング残渣用洗浄液および洗浄法
CN101983223B (zh) 2008-04-02 2013-06-05 三井化学株式会社 组合物及其制造方法、多孔质材料及其形成方法、层间绝缘膜、半导体材料、半导体器件以及低折射率表面保护膜
WO2009153834A1 (fr) 2008-06-18 2009-12-23 富士通株式会社 Dispositif à semi-conducteur et son procédé de fabrication
CN102224577B (zh) * 2009-05-29 2013-12-04 三井化学株式会社 半导体用密封组合物、半导体装置及半导体装置的制造方法
EP2615635B1 (fr) * 2010-09-10 2016-05-25 Mitsui Chemicals, Inc. Procédé de fabrication d'un dispositif semi-conducteur et solution de rinçage

Also Published As

Publication number Publication date
JP5968438B2 (ja) 2016-08-10
EP2876675A4 (fr) 2016-06-15
US9780008B2 (en) 2017-10-03
WO2014013956A1 (fr) 2014-01-23
KR101682836B1 (ko) 2016-12-05
TWI602246B (zh) 2017-10-11
EP2876675A1 (fr) 2015-05-27
IL236569B (en) 2018-08-30
SG11201500194UA (en) 2015-04-29
TW201407684A (zh) 2014-02-16
KR20150020668A (ko) 2015-02-26
IL236569A0 (en) 2015-02-26
JPWO2014013956A1 (ja) 2016-06-30
EP2876675B1 (fr) 2021-02-17
CN104412376A (zh) 2015-03-11
US20150187670A1 (en) 2015-07-02
SG10201607504UA (en) 2016-10-28
CN104412376B (zh) 2017-02-08

Similar Documents

Publication Publication Date Title
IN2015DN00369A (fr)
RU2015125911A (ru) Контейнеры из упрочненного боросиликатного стекла с повышенной устойчивостью к повреждению
FR2963982B1 (fr) Procede de collage a basse temperature
TW201614034A (en) Copper barrier chemical-mechanical polishing composition
BR112012024725A2 (pt) embalagem microeletrônica com terminais em massa dielétrica
WO2012047945A3 (fr) Revêtement résistant à l'usure, article et procédé
EP4293707A3 (fr) Formation directe et séquentielle de monocouches de nitrure de bore et de graphène sur des substrats
ATE527401T1 (de) Behandeltes aluminium und herstellungsverfahren dafür
WO2014134498A3 (fr) Articles et procédés permettant d'obtenir des surfaces imprégnées de liquides repoussant le tartre
BR112012019898A2 (pt) método para fabricar um transistor
MX2016012607A (es) Sustrato polimerico con capa de recubrimiento dura y metodo de manufacturacion para tal sustrato polimerico.
RU2015102576A (ru) Стойкие к расслоению стеклянные контейнеры с теплостойкими покрытиями
HK1163349A1 (en) Semiconductor light emitting device and method for manufacturing same
WO2010002515A3 (fr) Substrats à bas coût présentant des propriétés de résistivité élevée et leurs procédés de fabrication
BR112015009655A2 (pt) método e aparelho para formar em um substrato um padrão de um material
BR112014007659A2 (pt) processo de tratamento térmico de camadas de prata
IN2014CN03370A (fr)
BRPI0712338A8 (pt) Dispositivo de iluminação, e, método para fabricar um dispositivo de iluminação
NZ711289A (en) Novel polysiloxane-based fouling control coating systems
TW200703473A (en) Doping mixture for doping semiconductors
EP3284771A4 (fr) Composition de résine résistant à la chaleur, procédé de fabrication d'un film de résine résistant à la chaleur, procédé de fabrication d'un film d'isolation intercouche ou d'un film protecteur de surface et procédé de fabrication de composant électronique ou de composant semi-conducteur
WO2011025149A3 (fr) Procédé de fabrication d'un substrat semiconducteur et procédé de fabrication d'un dispositif luminescent
GB2486357A (en) Semiconductor device having a copper plug
WO2012064636A3 (fr) Plage de contact
WO2011124205A3 (fr) Emballage pour des substrats en métal-céramique, ainsi que procédé pour emballer des substrats de ce type