ES2096680T3 - Procedimiento para la formacion de una capa de bloqueo de nitruro de titanio, preferentemente con orientacion cristalografica (111). - Google Patents

Procedimiento para la formacion de una capa de bloqueo de nitruro de titanio, preferentemente con orientacion cristalografica (111).

Info

Publication number
ES2096680T3
ES2096680T3 ES92112579T ES92112579T ES2096680T3 ES 2096680 T3 ES2096680 T3 ES 2096680T3 ES 92112579 T ES92112579 T ES 92112579T ES 92112579 T ES92112579 T ES 92112579T ES 2096680 T3 ES2096680 T3 ES 2096680T3
Authority
ES
Spain
Prior art keywords
layer
titanium
titanium nitride
aluminum
crystallographic orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
ES92112579T
Other languages
English (en)
Inventor
Jaim Nulman
Kenny King-Tai Ngan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of ES2096680T3 publication Critical patent/ES2096680T3/es
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/927Electromigration resistant metallization
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/973Substrate orientation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

SE DESCRIBE UN PROCESO PARA FORMAR, SOBRE UNA SUPERFICIE DE SILICIO, UNA CAPA BARRERA DE NITRURO DE TITANIO CON UNA SUPERFICIE DE ORIENTACION CRISTALOGRAFICA (111). EL PROCESO COMPRENDE: DEPOSITAR UNA PRIMERA CAPA DE TITANIO (40) SOBRE UNA SUPERFICIE DE SILICIO (20); PULVERIZAR UNA CAPA DE NITRURO DE TITANIO (50) SOBRE LA CAPA DE TITANIO (40); DEPOSITAR UNA SEGUNDA CAPA DE TITANIO SOBRE LA CAPA DE NITRURO DE TITANIO PULVERIZADA; Y ENTONCES ENDURECER LA ESTRUCTURA EN PRESENCIA DE UN GAS QUE CONTIENE NITROGENO Y EN AUSENCIA DE UN GAS QUE CONTENGA OXIGENO, PARA FORMAR EL DESEADO NITRURO DE TITANIO CON UNA SUPERFICIE (111) DE ORIENTACION CRISTALOGRAFICA Y UN GROSOR SUFICIENTE PARA PROVEER PROTECCION DEL SILICIO QUE ESTA DEBAJO CONTRA PERFORACION POR EL ALUMINIO. CUANDO UNA CAPA DE ALUMINIO (80) SE FORMA TRAS ESTO SOBRE LA SUPERFICIE DE NITRURO DE TITANIO ORIENTADA (111), EL ALUMINIO ENTONCES ASUMIRA LA MISMA ORIENTACION CRISTALOGRAFICA (111), LO QUE RESULTA UNA CAPA DE ALUMINIO (80) CON RESISTENCIA MEJORADA A LA ELECTROMIGRACION.
ES92112579T 1991-07-24 1992-07-23 Procedimiento para la formacion de una capa de bloqueo de nitruro de titanio, preferentemente con orientacion cristalografica (111). Expired - Lifetime ES2096680T3 (es)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/735,397 US5242860A (en) 1991-07-24 1991-07-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Publications (1)

Publication Number Publication Date
ES2096680T3 true ES2096680T3 (es) 1997-03-16

Family

ID=24955612

Family Applications (1)

Application Number Title Priority Date Filing Date
ES92112579T Expired - Lifetime ES2096680T3 (es) 1991-07-24 1992-07-23 Procedimiento para la formacion de una capa de bloqueo de nitruro de titanio, preferentemente con orientacion cristalografica (111).

Country Status (6)

Country Link
US (4) US5242860A (es)
EP (1) EP0525637B1 (es)
JP (1) JPH0777206B2 (es)
KR (1) KR100255704B1 (es)
DE (1) DE69209182T2 (es)
ES (1) ES2096680T3 (es)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4998157A (en) * 1988-08-06 1991-03-05 Seiko Epson Corporation Ohmic contact to silicon substrate
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5858868A (en) * 1992-05-08 1999-01-12 Yamaha Corporation Method of manufacturing a laminated wiring structure preventing impurity diffusion therein from N+ and P+ regions in CMOS device with ohmic contact
US6081034A (en) 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
KR960002061B1 (ko) * 1992-10-05 1996-02-10 삼성전자주식회사 반도체 장치의 배선층 형성방법
JP3587537B2 (ja) * 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 半導体装置
KR970001883B1 (ko) * 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH06268083A (ja) * 1993-03-11 1994-09-22 Sony Corp 半導体装置の配線
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
KR960015564B1 (ko) * 1993-04-16 1996-11-18 현대전자산업 주식회사 반도체 장치의 금속배선 형성방법
KR960011865B1 (ko) * 1993-06-10 1996-09-03 삼성전자 주식회사 반도체 장치의 금속층 형성방법
JP3395299B2 (ja) * 1993-11-08 2003-04-07 ソニー株式会社 半導体装置の配線構造及び配線形成方法
JP2797933B2 (ja) * 1993-11-30 1998-09-17 日本電気株式会社 半導体装置の製造方法
KR0162673B1 (ko) * 1994-01-11 1998-12-01 문정환 반도체 도전층 및 반도체소자의 제조방법
US5550084A (en) * 1994-01-19 1996-08-27 Advanced Micro Devices, Inc. Integrated circuit fabrication using a metal silicide having a sputterdeposited metal nitride layer
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
DE19515564B4 (de) 1994-04-28 2008-07-03 Denso Corp., Kariya Elektrode für ein Halbleiterbauelement und Verfahren zur Herstellung derselben
US6770924B1 (en) * 1994-05-13 2004-08-03 Micron Technology, Inc. Amorphous TiN films for an integrated capacitor dielectric/bottom plate using high dielectric constant materials
JP3337825B2 (ja) * 1994-06-29 2002-10-28 三菱電機株式会社 内部配線を有する半導体装置およびその製造方法
JP3304621B2 (ja) * 1994-07-29 2002-07-22 三菱電機株式会社 半導体装置の製造方法
JP3280803B2 (ja) * 1994-08-18 2002-05-13 沖電気工業株式会社 半導体装置及びその製造方法
JP3586899B2 (ja) * 1994-09-22 2004-11-10 ソニー株式会社 半導体装置およびその製造方法
US5739046A (en) * 1994-09-30 1998-04-14 United Microelectronics Corporation Method of making a reliable barrier layer
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2689931B2 (ja) * 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5600182A (en) * 1995-01-24 1997-02-04 Lsi Logic Corporation Barrier metal technology for tungsten plug interconnection
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5738917A (en) * 1995-02-24 1998-04-14 Advanced Micro Devices, Inc. Process for in-situ deposition of a Ti/TiN/Ti aluminum underlayer
KR0148325B1 (ko) * 1995-03-04 1998-12-01 김주용 반도체 소자의 금속 배선 형성방법
US5494860A (en) * 1995-03-14 1996-02-27 International Business Machines Corporation Two step annealing process for decreasing contact resistance
JP2751859B2 (ja) * 1995-03-15 1998-05-18 日本電気株式会社 半導体装置の製造方法
EP0735586B1 (en) * 1995-03-28 2002-12-11 Texas Instruments Incorporated Semi-conductor structures
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09115829A (ja) * 1995-10-17 1997-05-02 Nissan Motor Co Ltd アルミニウム配線部を有する半導体装置およびその製造方法
US5591672A (en) * 1995-10-27 1997-01-07 Vanguard International Semiconductor Corporation Annealing of titanium - titanium nitride in contact hole
US5776831A (en) * 1995-12-27 1998-07-07 Lsi Logic Corporation Method of forming a high electromigration resistant metallization system
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US6017144A (en) * 1996-03-05 2000-01-25 Applied Materials, Inc. Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
US6054382A (en) * 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6049133A (en) * 1996-06-27 2000-04-11 Advanced Micro Devices, Inc. Semiconductor fabrication employing concurrent diffusion barrier and salicide formation
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US6156645A (en) * 1996-10-25 2000-12-05 Cypress Semiconductor Corporation Method of forming a metal layer on a substrate, including formation of wetting layer at a high temperature
US5924010A (en) * 1996-10-30 1999-07-13 United Microelectronics Corp. Method for simultaneously fabricating salicide and self-aligned barrier
US5679585A (en) * 1996-11-15 1997-10-21 Advanced Micro Devices, Inc. Method for forming metal silicide on a semiconductor surface with minimal effect on pre-existing implants
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
JP3751392B2 (ja) * 1996-12-27 2006-03-01 長野計器株式会社 半導体素子の電極構造およびその製造方法
US6174806B1 (en) 1997-01-28 2001-01-16 Micron Technology, Inc. High pressure anneals of integrated circuit structures
US6191032B1 (en) * 1997-02-04 2001-02-20 Advanced Micro Devices, Inc. Thin titanium film as self-regulating filter for silicon migration into aluminum metal lines
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
TW460597B (en) * 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
US6080665A (en) * 1997-04-11 2000-06-27 Applied Materials, Inc. Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US5943601A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Process for fabricating a metallization structure
TW353206B (en) 1997-05-17 1999-02-21 United Microelectronics Corp Process for producing self-aligned salicide having high temperature stability
US5960303A (en) * 1997-06-23 1999-09-28 Micron Technology, Inc. Process of forming titanium silicide interconnects
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
US6087234A (en) * 1997-12-19 2000-07-11 Texas Instruments - Acer Incorporated Method of forming a self-aligned silicide MOSFET with an extended ultra-shallow S/D junction
US6376369B1 (en) 1998-02-12 2002-04-23 Micron Technology, Inc. Robust pressure aluminum fill process
US6100186A (en) 1998-04-14 2000-08-08 Micron Technology, Inc. Method of selectively forming a contact in a contact hole
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
JP2000133712A (ja) * 1998-08-18 2000-05-12 Seiko Epson Corp 半導体装置の製造方法
US6638856B1 (en) 1998-09-11 2003-10-28 Cypress Semiconductor Corporation Method of depositing metal onto a substrate
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6207568B1 (en) 1998-11-27 2001-03-27 Taiwan Semiconductor Manufacturing Company Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer
US6165855A (en) 1998-12-04 2000-12-26 Advanced Micro Devices, Inc. Antireflective coating used in the fabrication of microcircuit structures in 0.18 micron and smaller technologies
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
JP3353727B2 (ja) * 1998-12-21 2002-12-03 日本電気株式会社 半導体装置の配線構造の形成方法
EP1022352A3 (en) * 1998-12-28 2002-01-02 Infineon Technologies North America Corp. Method for forming metal interconnects with increased electromigration lifetime
GB2349392B (en) 1999-04-20 2003-10-22 Trikon Holdings Ltd A method of depositing a layer
JP2001060590A (ja) 1999-08-20 2001-03-06 Denso Corp 半導体装置の電気配線及びその製造方法
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US7037830B1 (en) 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6342133B2 (en) * 2000-03-14 2002-01-29 Novellus Systems, Inc. PVD deposition of titanium and titanium nitride layers in the same chamber without use of a collimator or a shutter
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
DE50112534D1 (de) * 2001-01-04 2007-07-05 Infineon Technologies Ag Verfahren zur kontaktierung eines dotiergebiets eines halbleiterbauelements
US20040203230A1 (en) * 2002-01-31 2004-10-14 Tetsuo Usami Semiconductor device having multilayered conductive layers
US6777328B2 (en) * 2002-01-31 2004-08-17 Oki Electric Industry Co., Ltd. Method of forming multilayered conductive layers for semiconductor device
DE10240116A1 (de) * 2002-08-30 2004-03-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung lokaler Verbindungsbarrierenschichten
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US7097921B2 (en) * 2003-05-29 2006-08-29 Macronix International Co., Ltd. Sandwich arc structure for preventing metal to contact from shifting
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
KR100597649B1 (ko) 2004-11-26 2006-07-05 삼성전자주식회사 베리어 메탈을 포함하는 반도체 디바이스의 제조방법 및그 구조
JP4600322B2 (ja) * 2006-03-14 2010-12-15 セイコーエプソン株式会社 強誘電体メモリ装置の製造方法
JP4797717B2 (ja) * 2006-03-14 2011-10-19 セイコーエプソン株式会社 強誘電体メモリ装置、強誘電体メモリ装置の製造方法
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US9231206B2 (en) 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
US9530736B2 (en) * 2014-02-14 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
CN113223951B (zh) * 2020-01-21 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体处理工艺及半导体元器件
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1138795A (en) * 1980-02-19 1983-01-04 Goodrich (B.F.) Company (The) Escape slide and life raft
US4383003A (en) * 1980-09-22 1983-05-10 General Electric Company Transfer lamination of copper thin sheets and films, method and product
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
EP0127689B1 (de) * 1983-05-19 1987-08-26 Ibm Deutschland Gmbh Verfahren zum Herstellen von gedruckten Schaltungen mit in das Isolierstoffsubstrat eingebetteten metallischen Leiterzugstrukturen
GB2141582B (en) * 1983-06-16 1986-10-29 Plessey Co Plc A method of producing a layered structure
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4585517A (en) * 1985-01-31 1986-04-29 Motorola, Inc. Reactive sputter cleaning of semiconductor wafer
US4657628A (en) * 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4676866A (en) * 1985-05-01 1987-06-30 Texas Instruments Incorporated Process to increase tin thickness
US4895765A (en) * 1985-09-30 1990-01-23 Union Carbide Corporation Titanium nitride and zirconium nitride coating compositions, coated articles and methods of manufacture
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5175608A (en) * 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US5162262A (en) * 1989-03-14 1992-11-10 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device and manufactured method thereof
JP2537413B2 (ja) * 1989-03-14 1996-09-25 三菱電機株式会社 半導体装置およびその製造方法
JP2680468B2 (ja) * 1989-07-01 1997-11-19 株式会社東芝 半導体装置および半導体装置の製造方法
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer

Also Published As

Publication number Publication date
US5434044A (en) 1995-07-18
EP0525637A1 (en) 1993-02-03
KR100255704B1 (ko) 2000-05-01
US5242860A (en) 1993-09-07
JPH0777206B2 (ja) 1995-08-16
DE69209182T2 (de) 1996-11-21
DE69209182D1 (de) 1996-04-25
US5521120A (en) 1996-05-28
JPH05190493A (ja) 1993-07-30
US5360996A (en) 1994-11-01
EP0525637B1 (en) 1996-03-20
KR930003243A (ko) 1993-02-24

Similar Documents

Publication Publication Date Title
ES2096680T3 (es) Procedimiento para la formacion de una capa de bloqueo de nitruro de titanio, preferentemente con orientacion cristalografica (111).
ATE518239T1 (de) Verfahren zur uv-vorbehandlung von ultradünnem oxynitrid zur herstellung von siliziumnitridschichten
ES2195323T3 (es) Articulo de autolimpieza activada fotocataliticamente y metodo de hacerlo.
ES2139720T3 (es) Procedimiento de deposito de una capa a base de nitruro de titanio sobre un substrato transparente.
TW223178B (en) Semiconductor device and its production method
KR970003544A (ko) 개선된 티타늄 함유 방지층을 형성하기 위한 공정
AU5346799A (en) Ruthenium silicide diffusion barrier layers and methods of forming same
UY23526A1 (es) Articulo revestido
WO2002007233A3 (en) Group iii nitride compound semiconductor device
ES2088783T3 (es) Procedimiento de dos fases para la fabricacion de una capa de oxido sobre una superficie escalonada de una oblea de semiconductor.
DE549585T1 (de) Mit einer, mit bindemittel angereicherter cvd- und pvd-schicht bedecktes schneidwerkzeug.
ES2149631T3 (es) Deposito de dioxido de silicio por un procedimiento de evaporacion activada con plasma.
NZ511806A (en) Multilayer film laminate for use as a high barrier film in vacuum insulation panels; film layers with vapour deposited coating of aluminium, silicon oxide or another metal oxide
EP0342796A3 (en) Thin-film transistor
ATE391755T1 (de) Pigment mit metallglanz
CA2107174A1 (en) Epitaxial Magnesium Oxide as a Buffer Layer on (111) Tetrahedral Semiconductors
WO2004040622A3 (en) Nickel silicide with reduced interface roughness
ES2194390T3 (es) Modificacion de superficies para aumentar la tension superficial.
TW200620470A (en) Semiconductor device
EP0340802A3 (en) Silicon carbide diffusion tube for semi-conductor
TW366541B (en) Process for forming a semiconductor device
PE20050427A1 (es) Proceso para preparar un material compuesto que comprende un sustrato, una primera capa y una segunda capa
DK0599064T3 (da) Retard-form til farmaceutisk aktive midler
EP0402061A3 (en) Metallization process
UY24173A1 (es) Proceso para la produccion de un recubrimiento de proteccion sobre la superficie de un articulo de vidrio o ceramica

Legal Events

Date Code Title Description
FG2A Definitive protection

Ref document number: 525637

Country of ref document: ES