DE69829796D1 - Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht - Google Patents

Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht

Info

Publication number
DE69829796D1
DE69829796D1 DE69829796T DE69829796T DE69829796D1 DE 69829796 D1 DE69829796 D1 DE 69829796D1 DE 69829796 T DE69829796 T DE 69829796T DE 69829796 T DE69829796 T DE 69829796T DE 69829796 D1 DE69829796 D1 DE 69829796D1
Authority
DE
Germany
Prior art keywords
applying
stop layer
etching stop
etching
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69829796T
Other languages
English (en)
Inventor
Judy H Huang
David Cheung
Wai-Fan Yau
Chan-Lon Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69829796D1 publication Critical patent/DE69829796D1/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
DE69829796T 1997-05-07 1998-04-30 Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht Expired - Fee Related DE69829796D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/852,787 US6127262A (en) 1996-06-28 1997-05-07 Method and apparatus for depositing an etch stop layer

Publications (1)

Publication Number Publication Date
DE69829796D1 true DE69829796D1 (de) 2005-05-25

Family

ID=25314224

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69829796T Expired - Fee Related DE69829796D1 (de) 1997-05-07 1998-04-30 Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht

Country Status (5)

Country Link
US (2) US6127262A (de)
EP (1) EP0880166B1 (de)
JP (1) JP4509235B2 (de)
DE (1) DE69829796D1 (de)
TW (1) TW384504B (de)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6930028B1 (en) * 1997-06-09 2005-08-16 Texas Instruments Incorporated Antireflective structure and method
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6294459B1 (en) * 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
JP4763131B2 (ja) * 1998-10-01 2011-08-31 アプライド マテリアルズ インコーポレイテッド 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP2001228632A (ja) * 2000-02-15 2001-08-24 Mitsubishi Electric Corp レジストパターンの形成方法、半導体装置の製造方法および有機系反射防止膜の除去装置
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
US6596623B1 (en) * 2000-03-17 2003-07-22 Advanced Micro Devices, Inc. Use of organic spin on materials as a stop-layer for local interconnect, contact and via layers
US6562689B1 (en) * 2000-04-14 2003-05-13 Micron Technology, Inc. Non-ion-implanted resistive silicon oxynitride films as resistors
US6407002B1 (en) * 2000-08-10 2002-06-18 Taiwan Semiconductor Manufacturing Company Partial resist free approach in contact etch to improve W-filling
JP3650035B2 (ja) * 2001-02-22 2005-05-18 シャープ株式会社 半導体装置の製造方法
TW501181B (en) * 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
WO2004104698A2 (en) * 2003-05-19 2004-12-02 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070202710A1 (en) * 2006-02-27 2007-08-30 Hynix Semiconductor Inc. Method for fabricating semiconductor device using hard mask
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8748323B2 (en) * 2008-07-07 2014-06-10 Macronix International Co., Ltd. Patterning method
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8124525B1 (en) 2010-10-27 2012-02-28 International Business Machines Corporation Method of forming self-aligned local interconnect and structure formed thereby
KR20120083142A (ko) * 2011-01-17 2012-07-25 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
TWI418035B (zh) * 2011-02-21 2013-12-01 Chunghwa Picture Tubes Ltd 薄膜電晶體的製作方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9583442B2 (en) 2015-06-29 2017-02-28 International Business Machines Corporation Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
CN107703625B (zh) * 2017-09-27 2020-12-01 湖北东田光电材料科技有限公司 宽带增透膜的各膜层设计正确性判断方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3884698A (en) * 1972-08-23 1975-05-20 Hewlett Packard Co Method for achieving uniform exposure in a photosensitive material on a semiconductor wafer
JPS5824951B2 (ja) * 1974-10-09 1983-05-24 ソニー株式会社 コウガクソウチ
DE2832388C2 (de) * 1978-07-24 1986-08-14 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen von MNOS- und MOS-Transistoren in Silizium-Gate-Technologie auf einem Halbleitersubstrat
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
DE2923995C2 (de) * 1979-06-13 1985-11-07 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von integrierten MOS-Schaltungen mit MOS-Transistoren und MNOS-Speichertransistoren in Silizium-Gate-Technologie
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
ATE134788T1 (de) * 1987-02-13 1996-03-15 Dow Chemical Co Medium für optische aufzeichnung
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6418239A (en) * 1987-07-13 1989-01-23 Mitsubishi Electric Corp Semiconductor integrated circuit device
US4888199A (en) 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US4791073A (en) * 1987-11-17 1988-12-13 Motorola Inc. Trench isolation method for semiconductor devices
US4888632A (en) * 1988-01-04 1989-12-19 International Business Machines Corporation Easily manufacturable thin film transistor structures
US4849366A (en) * 1988-01-15 1989-07-18 Industrial Technology Research Institute Method of making a gated isolated structure
JPH0799057B2 (ja) 1988-01-22 1995-10-25 株式会社大林組 磁気浮上式免震装置
GB2216336A (en) * 1988-03-30 1989-10-04 Philips Nv Forming insulating layers on substrates
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
FR2666324B1 (fr) * 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
EP0488112B1 (de) 1990-11-30 1994-08-03 Central Glass Company, Limited Verfahren zum Bilden einer amorphen Siliziumdünnschicht mittels Plasma-CVD
JP2897569B2 (ja) * 1991-12-30 1999-05-31 ソニー株式会社 レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法
JPH05275345A (ja) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
JP3492710B2 (ja) * 1992-04-27 2004-02-03 株式会社東芝 薄膜トランジスタおよびその製造方法
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
US5320864A (en) * 1992-06-29 1994-06-14 Lsi Logic Corporation Sedimentary deposition of photoresist on semiconductor wafers
JP3200984B2 (ja) * 1992-07-21 2001-08-20 ソニー株式会社 位相シフト・マスクの製造方法
US5286667A (en) * 1992-08-11 1994-02-15 Taiwan Semiconductor Manufacturing Company Modified and robust self-aligning contact process
TW363146B (en) * 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
JPH06232113A (ja) * 1993-02-02 1994-08-19 Fuji Electric Co Ltd 半導体装置用絶縁膜の堆積方法
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JP3284687B2 (ja) * 1993-08-31 2002-05-20 ソニー株式会社 配線パターンの製造方法
JP3380923B2 (ja) * 1993-10-26 2003-02-24 ソニー株式会社 半導体装置における配線構造の形成方法
JP3339156B2 (ja) * 1993-12-28 2002-10-28 ソニー株式会社 微細パターンの製造方法と半導体装置の製造方法
JP3326943B2 (ja) * 1993-12-29 2002-09-24 ソニー株式会社 半導体装置の製造方法および半導体装置
JPH07297281A (ja) * 1994-04-22 1995-11-10 Sony Corp 接続孔の製造方法
US5418019A (en) * 1994-05-25 1995-05-23 Georgia Tech Research Corporation Method for low temperature plasma enhanced chemical vapor deposition (PECVD) of an oxide and nitride antireflection coating on silicon
US5399507A (en) * 1994-06-27 1995-03-21 Motorola, Inc. Fabrication of mixed thin-film and bulk semiconductor substrate for integrated circuit applications
JPH0817743A (ja) * 1994-06-29 1996-01-19 Sony Corp Cvd装置およびこれを用いた成膜方法
JP3353473B2 (ja) * 1994-07-26 2002-12-03 ソニー株式会社 半導体装置の製造方法
JPH0846188A (ja) * 1994-07-28 1996-02-16 Sony Corp 半導体装置
JPH0845928A (ja) * 1994-07-28 1996-02-16 Sony Corp SiON系材料膜の成膜方法
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5500279A (en) * 1994-08-26 1996-03-19 Eastman Kodak Company Laminated metal structure and metod of making same
JPH08124873A (ja) * 1994-10-24 1996-05-17 Sony Corp コンタクトホールの形成方法
JP3402837B2 (ja) * 1995-03-31 2003-05-06 株式会社東芝 磁性体薄膜の加工方法
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH08339998A (ja) * 1995-06-13 1996-12-24 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0964034A (ja) * 1995-08-18 1997-03-07 Toshiba Corp 半導体装置およびその製造方法
JP3700231B2 (ja) * 1996-01-25 2005-09-28 ソニー株式会社 接続孔の形成方法
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
JPH10242271A (ja) * 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
JPH10261707A (ja) * 1997-03-18 1998-09-29 Sony Corp 半導体装置の製造方法
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US5981401A (en) * 1998-03-13 1999-11-09 Micron Technology, Inc. Method for selective etching of anitreflective coatings
US6063704A (en) * 1999-08-02 2000-05-16 National Semiconductor Corporation Process for incorporating silicon oxynitride DARC layer into formation of silicide polysilicon contact

Also Published As

Publication number Publication date
US6209484B1 (en) 2001-04-03
EP0880166A2 (de) 1998-11-25
TW384504B (en) 2000-03-11
EP0880166B1 (de) 2005-04-20
JP4509235B2 (ja) 2010-07-21
EP0880166A3 (de) 2002-12-11
US6127262A (en) 2000-10-03
JPH1154502A (ja) 1999-02-26

Similar Documents

Publication Publication Date Title
DE69829796D1 (de) Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht
DE69830609D1 (de) Verfahren und vorrichtung zur neutralisierung einer elektrostatisch geladenen oberfläche
DE19681744T1 (de) Verfahren und Vorrichtung zum Charakterisieren einer Oberfläche
DE69834306D1 (de) Vorrichtung und Verfahren zum Auftrag einer Beschichtung
DE69800975T2 (de) Verfahren und Vorrichtung zu Oberflächenbehandlung
DE69828585D1 (de) Gerät und verfahren zum verstellen einer fahrzeugkomponente
DE69629901D1 (de) Vorrichtung und verfahren zum isolieren und testen einer formation
DE69835314D1 (de) Verfahren und Vorrichtung zur formatgesteuerten Interaktion zwischen Geräten
DE878824T1 (de) Verfahren und Gerät zur Ätzung eines Werkstücks
DE69812535T2 (de) Verfahren und Vorrichtung zum Auftrag einer wasserabweisenden Beschichtung
DE69931995D1 (de) Verfahren und Vorrichtung zum Abfasen einer Halbleiterplatte
DE69815609D1 (de) Verfahren und Vorrichtung zum Befördern einer Messvorrichtung im Bohrloch
DE69818321D1 (de) Verfahren und Gerät zur Bereitstellung einer elektronischen Programmübersicht
DE69917978D1 (de) Vorrichtung und Verfahren zur Komplettierung einer unterirdischen Bohrung
DE69721586D1 (de) Vorrichtung und verfahren zum hydroformen
DE59811538D1 (de) Verfahren und Vorrichtung zur Abtragung einer Oberflächenschicht
DE59600543D1 (de) Verfahren und vorrichtung zum strukturieren einer photolithographischen schicht
DE59913146D1 (de) Vorrichtung und verfahren zum ansteuern einer elektrisch betätigbaren feststellbremse
DE19680710T1 (de) Vorrichtung und Verfahren zum Ausführen einer Myringotomie
DE59905335D1 (de) Verfahren und einrichtung zum steuern einer kraftmaschine
DE59609506D1 (de) Verfahren und Vorrichtung zum Umbugen einer Überzugsschicht um eine Kante
DE59608371D1 (de) Vorrichtung und Verfahren zum Verdampfen einer Flüssigkeit
DE69418849T2 (de) Verfahren und Vorrichtung zum Steuern einer betätigbaren Rückhaltevorrichtung
DE69837912D1 (de) Vorrichtung und verfahren zum auftrag einer dünnen schicht und verfahren zur herstellung eines lcd-elementes
DE69733087D1 (de) Verfahren und vorrichtung zur scharfeinstellung einer halbleiterscheibe

Legal Events

Date Code Title Description
8339 Ceased/non-payment of the annual fee