DE69535718T2 - Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen - Google Patents

Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen Download PDF

Info

Publication number
DE69535718T2
DE69535718T2 DE69535718T DE69535718T DE69535718T2 DE 69535718 T2 DE69535718 T2 DE 69535718T2 DE 69535718 T DE69535718 T DE 69535718T DE 69535718 T DE69535718 T DE 69535718T DE 69535718 T2 DE69535718 T2 DE 69535718T2
Authority
DE
Germany
Prior art keywords
line
dielectric layer
lines
layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69535718T
Other languages
English (en)
Other versions
DE69535718D1 (de
Inventor
Shin-puu Plano Jeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/250,137 external-priority patent/US5527737A/en
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of DE69535718D1 publication Critical patent/DE69535718D1/de
Application granted granted Critical
Publication of DE69535718T2 publication Critical patent/DE69535718T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

  • Diese Anmeldung ist eine Teilfortführung der ebenfalls anhängigen Anmeldung, Ifd. Nummer 08/250.137, eingereicht am 27.05.94, mit dem Titel "Selective Formation of Low-Density, Low-Dielectric-Constant Insulators in Narrow Gaps for Line-to-Line Capacitance Reduction".
  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Es wird auf die folgenden ebenfalls übertragenen Patentanmeldungen Bezug genommen.
    Ifd. Nummer Einreichungsdatum TI-Akten-Nr.
    08/247.195 20.05.94 TI-18941
    08/246.432 20.05.94 TI-19072
  • Die folgenden ebenfalls übertragenen Patentanmeldungen werden mit der Patentanmeldung für die vorliegende Erfindung gleichzeitig eingereicht.
    TI-Akten-Nr. Erfinder Titel
    TI-19179 Gnade u. a. Low Dielectric Constant Lagers via Immiscible Sol-Gel Processing
    TI-19305 Havemann u. a. Multilevel Interconnect Structure with Air Gaps Formed Between Metal Leads
  • GEBIET DER ERFINDUNG
  • Diese Erfindung bezieht sich auf die Halbleiterverarbeitung und genauer auf die Verringerung der Kapazität von Leitung zu Leitung in Halbleitervorrichtungen.
  • HINTERGRUND DER ERFINDUNG
  • Halbleiter werden in integrierten Schaltungen für elektronische Anwendungen, einschließlich Hochgeschwindigkeits-Computern und der drahtlosen Kommunikation, umfassend verwendet. Derartige integrierte Schaltungen verwenden typischerweise mehrere Transistoren, die in Einkristall-Silicium hergestellt sind. Viele integrierten Schaltungen enthalten nun mehrere Ebenen der Metallisierung für die Zwischenverbindungen. Ein einzelner Halbleiter-Mikrochip kann Tausende und sogar Millionen von Transistoren besitzen. Logisch kann ein einzelner Mikrochip außerdem Millionen von Leitungen besitzen, die die Transistoren verbinden. Wie die Geometrien schrumpfen und die funktionale Dichte zunimmt, wird es unumgänglich, die Kapazität zwischen den Leitungen zu verringern. Die Kapazität von Leitung zu Leitung kann bis zu einem Punkt zunehmen, an dem eine Verzögerungszeit und ein Übersprechen die Vorrichtungsleistung behindern können. Die Verringerung der Kapazität zwischen diesen Mehrebenen-Metallisierungssystemen verringert die RC-Konstante, die Übersprechspannung und die Verlustleistung zwischen den Leitungen. Das Material, das typischerweise verwendet wird, um die Metallleitungen voneinander zu isolieren, ist Siliciumdioxid. Siliciumdioxid ist ein thermisch und chemisch stabiles Material. Herkömmliche Oxidätzungen sind außerdem für Kontakte und Durchgangslöcher mit hohem Längenverhältnis verfügbar.
  • Die Dielektrizitätskonstante des durch thermische Oxidation oder chemische Glasphasenabscheidung gezogenen dichten Siliciumoxids liegt jedoch in der Größenordnung von 3,9. Die Dielektrizitätskonstante basiert auf einer Skala, bei der 1,0 die Dielektrizitätskonstante eines Vakuums repräsentiert. Der Begriff "Dielektrikum mit niedriger Dielektrizitätskonstante", wie er hierin verwendet wird, bezieht sich auf ein Material mit einer Dielektrizitätskonstanten, die kleiner als 3,7 ist.
  • Vor kurzem sind Versuche unternommen worden, um Materialien mit niedriger Dichte, wie z. B. Aerogel, die eine niedrigere Dielektrizitätskonstante besitzen, zu verwenden, um das dichte Siliciumoxid zu ersetzen. Die Dielektrizitätskonstante von porösem Siliciumdioxid, wie z. B. Aerogel, kann so niedrig wie 1,2 sein. Diese niedrigere Dielektrizitätskonstante führt zu einer Verringerung der RC-Verzögerungszeit. Das herkömmliche Verfahren der Herstellung des Aerogels erfordert jedoch einen überkritischen Trocknungsschritt, der die Kosten und den Grad der Komplexität für die Halbleiterherstellung vergrößert.
  • US-A-5.312.512 offenbart ein Verfahren zur Planarisierung integrierter Schaltungsvorrichtungen unter Verwendung von aufgeschleudertem Glas (SOG) und chemisch-mechanischer Politur (CMP), bei dem die Metallleitungen auf einem Silicium-Wafer ausgebildet werden und eine SOG-Schicht über die Metallleitungen aufgeschleudert wird. Anschließend wird die Schicht erhitzt und ausgehärtet. Nachdem Abschnitte der SOG-Schicht weggeätzt worden sind, wird eine Dickoxidschicht auf den Metallleitungen und der SOG-Schicht abgeschieden, wobei Löcher durch die Dickoxidschicht und die SOG-Schicht gebildet werden, in die Stopfen abgeschieden werden, wobei eine CMP-Operation ausgeführt wird, um die Dickoxidschicht zu glätten.
  • EP-A-689246 offenbart ein Verfahren zum Herstellen einer Zwischenverbindungsschicht in einer Halbleitervorrichtung mit einer Verringerung der Kapazität von Leitung zu Leitung, das die Merkmale des Oberbegriffs des Anspruchs 1 der vorliegenden Anmeldung umfasst.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung ist ein neuartiges Verfahren zum wahlweisen Ausbilden eines Isolators mit niedriger Dichte und niedriger Dielektrizitätskonstante zwischen Metallleitungen, der die Kapazität von Leitung zu Leitung verringert. Außerdem erfordert die vorliegende Erfindung keinen überkritischen Trocknungsschritt, wobei sie leicht für jeden Standard-Produktionsprozess übernommen werden kann.
  • Hierin sind eine Zwischenverbindungsstruktur und ein -verfahren beschrieben. Zuerst werden mehrere Zwischenverbindungsleitungen auf einem Halbleiterkörper ausgebildet. Dann wird eine Schicht dielektrischen Materials über dem Halbleiterkörper und den mehreren Zwischenverbindungsleitungen bis zu einer Dicke aufgebracht, die ausreichend ist, um die Lücken zwischen benachbarten Zwischenverbindungsleitungen mehr als zu füllen. Das Material mit niedriger Dielektrizitätskonstante wird gebrannt und dann bei einer über die Brenntemperatur erhöhten Temperatur ausgehärtet.
  • Die Erfindung schafft ein Verfahren, wie es im Anspruch 1 definiert ist.
  • Unter Verwendung des Brennens und dann des Aushärtens besitzt das dielektrische Material innerhalb der Lücken eine niedrigere Dichte als das über den Zwischenverbindungsleitungen und das in den offenen Feldern. Die Entfernung des Materials mit niedriger Dielektrizitätskonstante von der Oberseite der Zwischenverbindungsleitungen durch Rückätzen ist optional. Schließlich wird eine Schicht Siliciumdioxid über den Zwischenverbindungsleitungen und dem Material mit niedriger Dielektrizitätskonstante abgeschieden.
  • Der Dichteunterschied zwischen den Lücken und den offenen Feldern kann durch herauslösendes Ätzen weiter vergrößert werden, das das porösere Material in den Lücken schneller als das weniger poröse Material in den offenen Feldern ätzt.
  • In einer Ausführungsform werden dann die Kontakt-Durchgangslöcher durch das Siliciumdioxid und die Schicht des Materials mit niedriger Dielektrizitätskonstante zu den mehreren Zwischenverbindungsleitungen geätzt. Vorzugsweise ist das Material mit niedriger Dielektrizitätskonstante ein aufgeschleudertes dielektrisches Material.
  • Ein Vorteil der Erfindung ist die Schaffung eines Metallisierungsschemas, das die Kapazität von Leitung zu Leitung verringert.
  • Ein weiterer Vorteil der Erfindung ist die Schaffung eines Metallisierungsschemas, das das Übersprechen und die Verlustleistung verringert.
  • Ein weiterer Vorteil der Erfindung ist das Schaffen einer dielektrischen Schicht zwischen den Zwischenverbindungsleitungen, die eine niedrigere Dielektrizitätskonstante als das dichte Siliciumdioxid besitzt.
  • KURZBESCHREIBUNG DER ZEICHNUNG
  • Die Erfindung kann am besten unter Bezugnahme auf die folgende Zeichnung verstanden werden, worin:
  • 1 ein Blockschaltplan von Mehrebenen-Zwischenverbindungsvorrichtungen ist;
  • 2 eine vertikale Querschnittsansicht einer Zwischenverbindungsstruktur gemäß der Erfindung ist;
  • 34 graphische Darstellungen im vertikalen Querschnitt sind, die die aufeinanderfolgenden Stufen der Herstellung der Struktur nach 2 zeigen;
  • 5 eine Darstellung der molekularen Struktur von Silsesquioxan H8Si8H12 ist;
  • 6 eine Fouriertransformations-Infrarot-Spektroskopie (FTIR-Spektroskopie) des Hydrogen-Silsesquioxans (HSQ) als eine Funktion der Aushärttemperatur ist;
  • 7-8 graphische Darstellungen im vertikalen Querschnitt sind, die die aufeinanderfolgenden Stufen der Herstellung der Struktur nach 2 zeigen;
  • 9 eine graphische Darstellung der Dicke und der Porosität von kondensiertem HSQ auf einem flachen Silicium-Wafer als Funktionen der Aushärttemperatur ist;
  • 10 eine graphische Darstellung der Metalloxid-Halbleiter-Kapazität (MOSCAP) und der Dielektrizitätskonstanten von Leitung zu Leitung des HSQ als eine Funktion der Aushärttemperatur ist;
  • 1112 graphische Darstellungen im vertikalen Querschnitt sind, die die aufeinanderfolgenden Stufen der Herstellung der Struktur nach 2 zeigen;
  • 1314 Mikrographien von Querschnitten einer Halbleitervorrichtung sind;
  • 15 ein Polardiagramm des Reflexionskoeffizienten (Smithsches Leitungsdiagramm) von einer Kammstruktur ist;
  • 16 eine graphische Darstellung ist, die die extrahierte Admittanz (nach dem Deembedding der Anschlussflächen) als eine Funktion der Frequenz darstellt;
  • 17 eine Darstellung der chemischen Struktur des Hydrogen-Silsesquioxans ist; und
  • 18 eine Darstellung einer möglichen chemischen Bindungssituation des Hydrogen-Silsesquioxans ist.
  • Entsprechende Bezugszeichen und Symbole in den verschiedenen Figuren beziehen sich auf entsprechende Teile, wenn es nicht anders angegeben ist.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • 1 veranschaulicht einen vertikalen Querschnitt durch eine Vorrichtung, die eine Grundebene 5 besitzt, die im Wesentlichen parallel zu den Metall-Zwi schenverbindungsleitungen 1, 2, 3 und 4 angeordnet ist. Die durch diese Zwischenverbindungsleitungen 1, 2, 3 und 4 übertragenen elektrischen Signale werden jedes durch die RC-Zeitkonstante dieser speziellen Leitung beeinflusst. Im Fall der Leitung 1 ist das Kapazitätselement der RC-Zeitkonstante in vier Komponenten aufgebrochen. Die erste Kapazitätskomponente ist die Kapazität C12, die die Kapazität von Leitung zu Leitung zwischen der Leitung 1 und Leitung 2 ist. Die zweite Komponente ist C13, die die Zwischenschicht-Kapazität zwischen der Leitung 1 und der Leitung unter ihr, der Leitung 3, ist. Die dritte Komponente ist C14, die die Zwischenschicht-Kapazität zwischen der Leitung 1 und der Leitung diagonal unter der Leitung 1, der Leitung 4, ist. Die vierte Komponente ist C15, die die Kapazität von der Leitung zur Masse zwischen der Leitung 1 und der Masse 5 ist. C11 ist als die Gesamtkapazität definiert. Die Metallbreite jeder der Leitungen 14 beträgt etwa 0,36 μm. Der Abstand von Leitung zu Leitung beträgt außerdem etwa 0,36 μm. Die Höhe der Metallleitungen 14 beträgt etwa 0,6 μm.
  • Die Oxiddicke zwischen den Metallleitungen beträgt etwa 0,7 μm. Die Kapazitätssimulation wurde an der Struktur nach 1 abgeschlossen, wobei die Ergebnisse in den Tabellen 1 und 2 gezeigt sind.
    V1 1,0000000·10+00
    V2 0,0000000·10+00
    V3 0,0000000·10+00
    V4 0,0000000·10+00
    V5 0,0000000·10+00
    Tabelle 1 – Die Lösung für Vorspannung
    NORM XR P-iter 1 c-iter v-Fehler 0,0000 10+00 n-Fehler p-Fehler
    Elektroden-Nr. Spannung (Volt) Fluss (C/μm) I(Elektron) (A/μm) I(Loch) (A/μm) I(gesamt) (A/μm)
    C11 1,0000·10+00 1,0842·10–16 0,0000·10+00 0,0000·10+00 0,0000·10+00
    C12 0,0000·10+00 –9,158210–17 0,0000·10+00 0,0000·10+00 0,0000·10+00
    C13 0,0000·10+00 –1,0418·10–17 0,0000·10+00 0,0000·10+00 0,0000·10+00
    C14 0,0000·10+00 –6,4178·10–18 0,0000·10+00 0,0000·10+00 0,0000·10+00
    C15 0,0000·10+00 –5,5909·10–21 0,0000·10+00 0,0000·10+00 0,0000·10+00
    Tabelle 2 – Als anfängliche Schätzung verwendete frühere Lösung
  • Wie aus der c-iter-Spalte der Tabelle 2 ersichtlich ist, ist im obigen Beispiel die Kapazität von Leitung zu Leitung für 85% der Gesamtkapazität verantwortlich. Selbstverständlich variiert der Prozentsatz in Abhängigkeit vom Abstand von Leitung zu Leitung. Wie der Abstand abnimmt, nimmt der Prozentsatz der Gesamtkapazität zu. Demgemäß besitzt die Verringerung der Kapazität von Leitung zu Leitung eng beabstandeter Zwischenverbindungsleitungen eine signifikante Wirkung auf die Gesamt-RC-Verzögerungszeit einer gegebenen Zwischenverbindungsleitung. Obwohl die Erfindung in Verbindung mit einem Mehrebenen-Metallisierungsprozess beschrieben wird, ist es für die Fachleute auf dem Gebiet offensichtlich, dass sich die Anzahl der Metallisierungsebenen verändern kann und dass die Erfindung in gleicher Weise auf Eineben-Metallvorrichtungen anwendbar ist.
  • 2 zeigt eine Zwischenverbindungsebene in einem Halbleiterkörper 10 gemäß der Erfindung. Der Halbleiterkörper 10 kann Transistoren, Dioden und andere Halbleiterelemente (die nicht gezeigt sind) enthalten, die im Stand der Technik wohlbekannt sind. Der Halbleiterkörper 10 kann außerdem weitere Metall-Zwischenverbindungsschichten enthalten. Die Isolatorschicht 12 ist auf der Oberfläche des Halbleiterkörpers ausgebildet, um die Strukturen des Halbleiterkörpers von den Zwischenverbindungsleitungen 14a–d zu isolieren. Die Isolatorschicht 12 kann ein Oxid, wie z. B. Siliciumdioxid, enthalten. Die Kontakte, wie z. B. der Kontakt 11, verlaufen durch den Isolator 12, um die Zwischenverbindungsleitungen 14a–d mit dem Halbleiterkörper 10 zu verbinden. Die Zwischen verbindungsleitungen 14a–d können aus einem elektrisch leitenden Material, wie z. B. Aluminium, oder Titiannitrid/Aluminium/Titiannitrid-Mehrfachschichten hergestellt sein, obwohl ein anderes leitendes Material außerdem verwendet werden kann. Die Zwischenverbindungsleitungen 14a–c sind eng beabstandet (z. B. kleiner als 0,5 μm), aber zwischen den Zwischenverbindungsleitungen 14c und 14d gibt es einen viel breiteren Abstand (z. B. > 2,0 μm). Die Schicht 20 umfasst ein Material mit niedriger Dichte und niedriger Dielektrizitätskonstante und isoliert die Zwischenverbindungsleitungen 14a–c voneinander. Die Schicht 20 mit niedriger Dichte und niedriger Dielektrizitätskonstante kann poröses Siliciumdioxid, poröses Siloxan, poröses Silsesquioxan oder ein anderes poröses Material mit niedriger Dichte, das eine Dielektrizitätskonstante besitzt, die kleiner als 3,7 ist, enthalten. In der bevorzugten Ausführungsform wird poröses Siliciumdioxid verwendet.
  • Das Material mit niedriger Dielektrizitätskonstante der Schicht 20 ist in Bereichen der Vorrichtung angeordnet, die den maximalen Einfluss auf die Kapazität besitzen. Weil die Kapazität von Leitung zu Leitung in eng beabstandeten Zwischenverbindungsleitungen der wichtigste Beitragende zur Gesamtkapazität ist, wird ein Material mit niedriger Dichte und niedriger Dielektrizitätskonstante, wie z. B. poröses Siliciumdioxid, zwischen den Zwischenverbindungsleitungen 14a–c angeordnet. Dichtes Siliciumoxid 18 wird anderswo verwendet (z. B. zwischen den Zwischenverbindungsleitungen 14c und 14d) und zum Isolieren der Zwischenverbindungen 14a–d von den nachfolgend ausgebildeten Elementen, wie z. B. zusätzlichen (nicht gezeigten) Zwischenverbindungsschichten, verwendet. Die Kontakt-Durchgangslöcher, wie z. B. jene, die bei 24 veranschaulicht sind, verlaufen durch die Siliciumdioxidschicht 18, um an gewünschten Orten eine Zwischenverbindung mit den Zwischenverbindungsleitungen 14a–d zu schaffen.
  • Es gibt mehrere Vorteile, wenn poröses Siliciumdioxid zwischen den Zwischenverbindungsleitungen vorhanden ist. Erstens ist die Kapazität von Leitung zu Leitung verringert, weil das Material 20 mit niedriger Dielektrizitätskonstante eine Dielektrizitätskonstante besitzt, die niedriger als die des dichten Siliciumdioxids ist. Zweitens sind herkömmliche Oxidätzungen für Kontakte und Durchgangslöcher mit hohem Längenverhältnis verfügbar. Drittens schafft das umgebene dichte Siliciumdioxid eine Barriere gegen die mechanische Instabilität des porösen Materials. Viertens ist die Oberfläche des Siliciumdioxids für die litho graphische Strukturierung planarisiert. Fünftens weil die Grenzflächenkraft zwischen dem porösen Material und den Seitenwänden der Zwischenverbindungsleitungen die poröse Struktur stabilisiert. Außerdem ist das poröse Material zwischen den Zwischenverbindungsleitungen bis zu einer Temperatur so hoch wie 700°C strukturell stabil. Die hohe thermische Stabilität erlaubt nicht nur die Verwendung des porösen Materials für die Zwischenschichtdielektrikum-Anwendung (ILD-Anwendung), sondern außerdem die Polymetalldielektrikum-Anwendung (PMD-Anwendung).
  • 3 zeigt einen Halbleiterkörper 10 nach der Bildung von (nicht gezeigten) Transistoren und anderen Vorrichtungselementen. Im Halbleiterkörper 10 können außerdem eine oder mehrere Zwischenverbindungsschichten ausgebildet worden sein. Die Isolatorschicht 12 und der Kontakt 11 sind auf der Oberfläche des Halbleiterkörpers 10 ausgebildet.
  • In 4 ist eine Metallschicht abgeschieden und geätzt, um die Zwischenverbindungsleitungen 14a–d zu bilden. Für die Einfachheit zeigt 4 nur die vier Zwischenverbindungsleitungen 14a–d. Es ist jedoch für die Fachleute auf dem Gebiet offensichtlich, dass sowohl viele weitere Zwischenverbindungsleitungen als auch andere Geometrien die Zwischenverbindungsleitungen ebenfalls bilden können. Die Zwischenverbindungsleitungen 14a–d besitzen eine vertikale Dicke in der Größenordnung von 0,5–2,0 μm und eine horizontale Dicke, die sich mit der Konstruktion verändert, aber typischerweise im Bereich von 0,25 bis 1 μm liegt. Nach der Bildung der Zwischenverbindungsleitungen 14a–d kann optional eine (nicht gezeigte) dünne Schicht aus Siliciumdioxid über der Oberfläche der Struktur abgeschieden werden. Weil diese Schicht des Siliciumdioxids optional ist, ist sie in der Zeichnung nicht gezeigt.
  • In 4 ist eine Schicht des dielektrischen Materials 20 über der Oberfläche der Struktur in einer Dicke in der Größenordnung von 0,2–5,0 μm abgeschieden. Die Schicht 20 ist bis zu einer Dicke abgeschieden, die ausreichend ist, um die Bereiche zwischen den Zwischenverbindungsleitungen 14a–c zu füllen. Die Schicht 20 kann aufgeschleuderte Colloidsuspensions-Materialien, wie z. B. Polysilsesquioxan, Siloxan oder Silikat, enthalten.
  • Außerdem kann die Schicht 20 aus der Gasphase abgeschiedene gelartige Materialien, wie z. B. stark hydrolysiertes Siliciumdioxid, enthalten. Wenngleich Dow CorningTM Polysilsesquioxane Flowable Oxid® (das im Folgenden als "fließfähiges Oxid" bezeichnet wird) in der bevorzugten Ausführungsform verwendet wird, könnten andere Hydrogen-Silsesquioxane (HSQ) verwendet werden. Die HSQs besitzen eine allgemeine Formel (HSiO1.5)2n, wobei n = 3 bis 8 gilt. Die Nomenklatur des Silsesquioxans gibt an, dass jedes Siliciumatom mit 1,5 Sauerstoffatomen verbunden ist. Die molekulare Struktur des polyedrischen Silsesquioxans H8Si8H12 ist in 5 gezeigt.
  • Die graphische Darstellung der FTIR-Spektren des HSQ ist in 6 gezeigt. Die graphische Darstellung stellt dar, wie verschiedene Aushärttemperaturen mit dem Infrarot-Absorptionsvermögen (beliebige Einheiten) und den Wellenzahlen (cm–1) in Beziehung stehen. Die Änderungen der Infrarot-Absorptionsspitzen sowohl des Si-H als auch des Si-O lassen darauf schließen, dass sich die HSQ-Moleküle selbst neu konfigurieren, wie die Aushärttemperatur zunimmt. Die Röntgen-Beugung gibt die Bildung amorpher Filme bei allen untersuchten Temperaturen an. Das HSQ zeigt eine hervorragende Lückenfüll- und Planarisierungsfähigkeit, insbesondere weil das Anfangsmaterial eine relativ niedrige Temperatur des Übergangs in den Glaszustand (~250 Grad Celsius) besitzt.
  • In 7 wird die Struktur aus 4 nach der Abscheidung gebrannt. Die typische Brenntemperatur liegt zwischen 150°C und 300°C. Das Brennen entfernt restliches Lösungsmittel von der Schicht 20 des dielektrischen Materials und bewirkt die Vernetzung zwischen den anfangs suspendierten Teilchen. Außerdem werden infolge der Verdampfung des Lösungsmittels Poren in der Vernetzung erzeugt.
  • In 8 wird die Struktur bei einer Temperatur ausgehärtet, die höher als die anfängliche Brenntemperatur ist. Für die Zwischenschichtdielektrikum-Anwendung liegt die typische Aushärttemperatur zwischen 300°C und 500°C. Für die Polymetalldielektrikum-Anwendung können höhere Aushärttemperaturen (z. B. > 500°C) notwendig sein. Das Aushärten verdichtet das dielektrische Material 20 zwischen den Zwischenverbindungsleitungen 14c–d und in den offenen Feldbereichen. Das Material zwischen den Leitungen 14a–c wird jedoch nicht verdichtet und verbleibt porös. Dies ist wahrscheinlich so, weil die Wechselwirkung zwischen der Vernetzung und den Seitenwänden der Zwischenverbindungsleitungen die Verdichtung verhindert. Weil poröses Siliciumdioxid dazu neigt, Feuchtigkeit zu absorbieren, kann das Material eine Abdeckung aus einem feuchtigkeitsbeständigen Material (z. B. Plasmaoxid) erfordern.
  • Die Porosität entwickelt sich während der Vernetzung des HSQ. 9 zeigt die gemessene Dicke und die gemessene Porosität kondensierter Filme auf flachen Si-Wafern als eine Funktion der Aushärttemperatur. Sowohl die Dicke als auch die Porosität werden mit zunehmender Aushärttemperatur auf Grund der Verdichtung verkleinert. Die Porosität betrug ~19% für Filme, die unter 400 Grad Celsius ausgehärtet wurden, wobei sie für den bei 450 Grad Celsius ausgehärteten Film auf ~13% abnahm.
  • Die durch das MOSCAP-Verfahren gemessenen entsprechenden Dielektrizitätskonstanten sind in 10 gezeigt. (Die Werte beziehen sich auf thermisches Oxid (k = 3,96). Die Messungen der Kapazität von Leitung zu Leitung wurden unter Verwendung einer Kammstruktur mit 0,50/0,50 μm Breite/Abstand ausgeführt.) Wenn die Aushärttemperatur von 450 Grad Celsius auf 300 Grad Celsius verringert wurde, verringerte sich die Dielektrizitätskonstante von ~3,5 auf ~2,7, was angibt, dass die Poren innerhalb des HSQ-Films die effektive Dielektrizitätskonstante verringert haben. Die Kapazität von Leitung zu Leitung wurde unter Verwendung von Kammstrukturen sorgfältig gemessen, wobei die extrahierten Dielektrizitätskonstanten außerdem in 10 gezeigt sind. Ähnlich zu den MOSCAP-Daten nahm die Dielektrizitätskonstante von Leitung zu Leitung mit abnehmender Aushärttemperatur ab, sie war jedoch beträchtlich kleiner als die MOSCAP-Dielektrizitätskonstante für eine gegebene Aushärttemperatur, was darauf schließen lässt, dass die Dichte der Lücken des HSQ-Films niedriger als die eines offenen Feldes war. Weil jedoch das HSQ eine niedrigere mechanische Stärke als dichtes Siliciumdioxid besitzen kann, kann dichtes Siliciumdioxid in den offenen Feldbereichen verwendet werden, während poröses Siliciumdioxid zwischen den Zwischenverbindungsleitungen verbleibt. Außerdem könnten die oberste Siliciumdioxidschicht und die Metallzwischenverbindungs-Seitenwände eine Barriere (Beschränkung) für das poröse Siliciumdioxid schaffen.
  • In 11 werden die Kontakt-Durchgangslöcher 24 durch die Siliciumdioxidschicht 18 zu den Zwischenverbindungsleitungen 14 entsprechend herkömmlichen Techniken strukturiert und geätzt. Es ist ein Vorteil der Erfindung, dass herkömmliche Kontakt-/Durchgangsloch-Ätzungen verwendet werden können, wäh rend eine kürzere RC-Verzögerungszeit erreicht wird. Dies wird durch die Tatsache verursacht, dass die dichte Siliciumdioxidschicht 18 verbleibt, wo die Durchgangslöcher erwünscht sind, aber das poröse Siliciumdioxid mit niedriger Dichte im Zwischenraum zwischen benachbarten Zwischenverbindungsleitungen verwendet wird, was die Wirkung der Verringerung der RC-Zeitkonstante für die Leitungen besitzt. Schließlich wird eine Metallschicht abgeschieden und geätzt, um die Durchgangslöcher 24 zu füllen, was zu der Struktur nach 2 führt.
  • Nach der Bildung der Struktur nach 2 kann der Prozess wiederholt werden, um zusätzliche Metallzwischenverbindungsschichten zu bilden, wie in 12 gezeigt ist. Typischerweise können drei von vier derartigen Metallzwischenverbindungsschichten gebildet werden. Die Erfindung ist jedoch in gleicher Weise sowohl auf Vorrichtungen, die nur einzelne oder doppelte Metallzwischenverbindungen besitzen, als auch auf jene, die mehr als vier Ebenen der Zwischenverbindungsleitungen besitzen, anwendbar.
  • In 13 wird während einer Stunde ein fließfähiges Oxid 16 bei 400°C ausgehärtet. Das fließfähige Oxid 16 wird in 10 Sekunden durch eine Standard-Korrosionslösung (eine Mischung aus NH4F und Essigsäure) weggeätzt. Das fließfähige Oxid 16 zwischen den Leitungen 1719 ist weniger dicht und besitzt deshalb eine höhere Ätzrate als das fließfähige Oxid in den offenen Feldbereichen. Es wird geschätzt, dass das Material wenigstens 20% Porosität enthält, was die Dielektrizitätskonstante des Siliciumdioxids auf weniger als 3,3 verringert.
  • In 14 ist, während das fließfähige Oxid 16 bei einer höheren Temperatur von 700°C ausgehärtet wird, das fließfähige Oxid 16 zwischen den Leitungen 11-15 abermals weniger dicht als das Oxid in den offenen Feldbereichen 21. Das Oxid 16 wird in 10 Sekunden in der Standard-Korrosionslösung weggeätzt. Das bei einer höheren Temperatur ausgehärtete Material ist jedoch weniger porös. Es wird geschätzt, dass die Porosität im fließfähigen Oxid etwa 10% beträgt. 10 stellt außerdem eine schützende Abdeckschicht 18 und eine tiefere Schicht des Materials 20 dar.
  • Außerdem zeigen die 15 und 16 den Hochfrequenzgang bis zu 1 GHz dieses neuen (bei 300 Grad Celsius ausgehärteten) porösen Zwischenschicht-Dielektrikums. 15 ist ein Polardiagramm des Reflexionskoeffizienten (Smithsches Leitungsdiagramm) von einer Kammstruktur, das zeigt, dass sich die Struktur als ein fast idealer Kondensator mit einem sehr kleinen Metallreihenwiderstand verhält. 16 zeigt die extrahierte Admittanz (nach dem Deembedding der Anschlussflächen) als eine Funktion der Frequenz. Der Anstieg der Admittanz ist die Kapazität der Struktur, der von 1 MHz bis 1 GHz konstant war, was angibt, dass keine Absorption der Reaktion des Dielektrikums in dem Bereich aufgetreten ist.
  • Ein weiteres Verfahren, um die Dielektrizitätskonstante von aufgeschleudertem Hydrogen-Silsesquioxan-Glas (HSQ-Glas) weiter zu verringern, ist die Verwendung eines Harzes mit einem niedrigeren Molekulargewicht. Das Material mit niedrigerem Molekulargewicht besitzt mehr Wasserstoffliganden und bildet einen weniger dichten Film. Das Material mit einem höheren Molekulargewicht besitzt weniger Wasserstoffliganden und ist dichter, weil die Käfigstruktur oft kollabiert. Das HSQ mit niedrigerem Molekulargewicht besitzt mehr am Würfel angebrachten Wasserstoff, wobei deshalb der resultierende Film eine höhere Porosität besitzt, wie in 16 dargestellt ist. Das aktuelle HSQ-Produkt von Dow CorningTM besitzt ein durchschnittliches Molekulargewicht von 10000 atomaren Masseneinheiten.
  • Der Verlust des Wasserstoffliganden könnte zu einer direkten Si-Si-Bindung, wie in 17 gezeigt ist, oder zu einem Kollaps der Käfigstruktur führen. Beide Ergebnisse würden die Porosität des endgültigen Films verringern.
  • Während die bevorzugte Ausführungsform mit bestimmten Prozentsätzen der Porosität beschrieben worden ist, gibt es Verfahren, um die Porosität des Materials mit niedriger Dielektrizitätskonstante zu ändern. Es ist selbstverständlich, dass verschiedene Modifikationen an dem Prozess der Herstellung des Materials mit niedriger Dielektrizitätskonstante und seiner variierenden Porosität in der Erfindung eingeschlossen sind. Die Anmeldung TI-19072 , auf die oben ein Querverweis gegeben worden ist, beschreibt z. B. verschiedene Verfahren, um die Porosität eines Materials mit niedriger Dielektrizitätskonstante zu verändern. Eine Variation der Aushärttemperatur, des pH-Wertes, der Viskosität (die durch ein verdünntes Material mit niedriger Dielektrizitätskonstante geändert wird) und der umgebenden Atmosphäre (z. B. Vakuum, N2, Sauerstoff) können die Porosität des Materials mit niedriger Dielektrizitätskonstante modifizieren.
  • Außerdem kann der Dichteunterschied zwischen den Lücken und den offenen Feldern weiter durch ein herauslösendes Ätzen vergrößert werden, was das poröse Material in den Lücken schneller als das weniger poröse Material in den offenen Feldern ätzt. Das herauslösende Ätzen könnte z. B. ausgeführt werden, indem ein Loch im Material hergestellt wird und gasförmiges HF in das Loch injiziert wird, um die Porosität zu vergrößern. Außerdem sind weitere Verfahren, um die Porosität des dielektrischen Materials zwischen den Lücken zu vergrößern, in den Anmeldungen TI-19179 und TI-19305 , auf die oben ein Querverweis gegeben worden ist, erörtert.

Claims (10)

  1. Verfahren zum Herstellen einer Zwischenverbindungsschicht in einer Halbleitervorrichtung mit verringerter Kapazität von Leitung zu Leitung, das umfasst: Bilden von Zwischenverbindungsleitungen (14a, ..., 14d) auf einem Halbleiterkörper (10); Aufbringen einer dielektrischen Schicht (20) auf den Halbleiterkörper (10) und die mehreren Leitungen (14a, ..., 14d) bis zu einer Dicke, die ausreicht, um die Bereiche zwischen den Zwischenverbindungsleitungen (14a, ..., 14d) zu füllen; und Brennen der dielektrischen Schicht (20) und Aushärten der dielektrischen Schicht (20); dadurch gekennzeichnet, dass benachbarte erste (14b) und zweite (14c) der Leitungen um weniger als eineinhalb Leitungsbreiten voneinander beabstandet sind und eine dritte Leitung (14d), die zu der zweiten Leitung (14c) benachbart ist, von der zweiten Leitung (14c) um wenigstens drei Leitungsbreiten beabstandet ist; die dielektrische Schicht (20) aufgeschleuderte Colloidsuspensions-Materialien aus Polysilsequioxan, Siloxan, Silikat oder einem gelartigen stark hydrolysierten Siliciumdioxid enthält; die dielektrische Schicht (20) auf den Halbleiterkörper bis zu einer Dicke von 0,2–5,0 Mikrometer aufgebracht wird; die dielektrische Schicht (20) bei einer Temperatur im Bereich von 200°C bis 700°C ausgehärtet wird; und dadurch ein Dielektrikum (18, 20) gebildet wird, das zwischen der ersten Leitung (14b) und der zweiten Leitung (14c) weniger dicht als zwischen der zweiten Leitung (14c) und der dritten Leitung (14d) ist und eine Dielektrizitätskonstante von weniger als 3,7 zwischen der ersten Leitung (14b) und der zweiten Leitung (14c) hat.
  2. Verfahren nach Anspruch 1, wobei das Aushärten das Aushärten in einem Ofen umfasst.
  3. Verfahren nach Anspruch 1, wobei das Verfahren ferner das Ätzen der dielektrischen Schicht (20) umfasst, um die Dichte des Dielektrikums (20) zwischen der ersten und der zweiten Leitung abzusenken.
  4. Verfahren nach Anspruch 1, wobei das Bilden einer dielektrischen Schicht (20) das Aufschleudern der dielektrischen Schicht (20) umfasst.
  5. Verfahren nach Anspruch 1, wobei das Verfahren ferner das Entfernen der dielektrischen Schicht über den mehreren Zwischenverbindungsleitungen (14a, ..., 14d) und in offenen Bereichen in dem Halbleiterkörper (10) umfasst.
  6. Verfahren nach Anspruch 1, wobei das Verfahren ferner das Bilden einer Siliciumdioxid-Schicht über den mehreren Zwischenverbindungsleitungen (14a, ..., 14d) und der dielektrischen Schicht umfasst.
  7. Verfahren nach Anspruch 6, wobei das Verfahren ferner das Bilden von Kontakt-Durchgangslöchern (24) durch die Siliciumdioxid-Schicht und die dielektrische Schicht zu den mehreren Zwischenverbindungsleitungen (14a, ..., 14d) umfasst.
  8. Verfahren nach Anspruch 1, wobei das Brennen bei einer Temperatur im Bereich von Raumtemperatur bis 500°C erfolgt.
  9. Verfahren nach Anspruch 1, wobei das Aushärten bei einer Temperatur von weniger als 400°C erfolgt.
  10. Verfahren nach Anspruch 1, wobei das Verfahren das Bilden eines feuchtigkeitsbeständigen Abdeckmaterials oberhalb der dielektrischen Schicht umfasst.
DE69535718T 1994-05-27 1995-05-29 Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen Expired - Fee Related DE69535718T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/250,137 US5527737A (en) 1994-05-27 1994-05-27 Selective formation of low-density, low-dielectric-constant insulators in narrow gaps for line-to-line capacitance reduction
US250137 1994-05-27
US44806995A 1995-05-23 1995-05-23
US448069 1995-05-23

Publications (2)

Publication Number Publication Date
DE69535718D1 DE69535718D1 (de) 2008-04-10
DE69535718T2 true DE69535718T2 (de) 2009-03-19

Family

ID=26940624

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69535718T Expired - Fee Related DE69535718T2 (de) 1994-05-27 1995-05-29 Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen

Country Status (5)

Country Link
US (1) US5858871A (de)
EP (1) EP0701277B1 (de)
JP (1) JP4014234B2 (de)
DE (1) DE69535718T2 (de)
TW (1) TW301789B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013216011B4 (de) 2012-09-19 2023-03-30 Mitsubishi Electric Corporation Halbleitervorrichtungen

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319852B1 (en) 1995-11-16 2001-11-20 Texas Instruments Incorporated Nanoporous dielectric thin film formation using a post-deposition catalyst
US5807607A (en) * 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US6380105B1 (en) 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6130152A (en) 1995-11-16 2000-10-10 Texas Instruments Incorporated Aerogel thin film formation from multi-solvent systems
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
JP3435325B2 (ja) * 1997-02-13 2003-08-11 株式会社東芝 低誘電率珪素酸化膜の形成方法
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
KR20010006553A (ko) * 1997-04-17 2001-01-26 크리스 로저 에이치 다중밀도의 미세공극성 유전체 코팅된 기판 및 그 코팅방법
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
US6858526B2 (en) * 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6333556B1 (en) * 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US5866945A (en) * 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
EP0917199A3 (de) * 1997-11-17 2001-04-11 Texas Instruments Incorporated Verbesserungen in oder in Bezug auf Halbleiteranordnungen
CN1257053C (zh) * 1997-11-18 2006-05-24 松下电器产业株式会社 层叠体和电容器
JP3175691B2 (ja) 1998-05-08 2001-06-11 日本電気株式会社 多層配線半導体装置の製造方法
JP3123512B2 (ja) * 1998-06-02 2001-01-15 日本電気株式会社 半導体装置及びその製造方法
JP2000017172A (ja) * 1998-06-29 2000-01-18 Toshiba Corp ケイ素ポリマー組成物、ケイ素酸化膜の形成方法および半導体素子
US5906859A (en) * 1998-07-10 1999-05-25 Dow Corning Corporation Method for producing low dielectric coatings from hydrogen silsequioxane resin
US6657302B1 (en) * 1999-01-12 2003-12-02 Agere Systems Inc. Integration of low dielectric material in semiconductor circuit structures
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
FR2798673B1 (fr) * 1999-09-16 2004-05-28 Exonhit Therapeutics Sa Methodes et compositions pour la detection d'evenements pathologiques
EP1094506A3 (de) 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6342454B1 (en) 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6638358B1 (en) * 2000-01-13 2003-10-28 Advanced Micro Devices, Inc. Method and system for processing a semiconductor device
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
EP1172847A3 (de) * 2000-07-10 2004-07-28 Interuniversitair Micro-Elektronica Centrum Vzw Methode, eine poröse Siliziumoxidschicht herzustellen
US6984581B2 (en) 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6653718B2 (en) 2001-01-11 2003-11-25 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3887175B2 (ja) 2001-02-02 2007-02-28 沖電気工業株式会社 半導体装置及びその製造方法
US6670285B2 (en) 2001-03-14 2003-12-30 International Business Machines Corporation Nitrogen-containing polymers as porogens in the preparation of highly porous, low dielectric constant materials
US6685983B2 (en) 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
JP2003100757A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置およびその製造方法
JP3775354B2 (ja) * 2002-06-20 2006-05-17 松下電器産業株式会社 半導体装置およびその製造方法
US6967172B2 (en) * 2002-07-03 2005-11-22 Honeywell International Inc. Colloidal silica composite films for premetal dielectric applications
US7994069B2 (en) * 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof
US20070090231A1 (en) * 2005-10-26 2007-04-26 Macduff James Multi-purpose hanger for pipe, tubing, conduit or cable and method of using same
US20100134297A1 (en) * 2008-12-03 2010-06-03 Curtis Baldwin Activity monitoring eyewear
JP4728384B2 (ja) * 2008-12-10 2011-07-20 パナソニック株式会社 回路基板の製造方法
KR102194975B1 (ko) * 2017-10-13 2020-12-24 삼성에스디아이 주식회사 실리카 막 형성용 조성물, 실리카 막의 제조방법 및 실리카 막

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3065150D1 (en) * 1979-06-21 1983-11-10 Fujitsu Ltd Improved electronic device having multilayer wiring structure
JPH02186636A (ja) * 1989-01-12 1990-07-20 Seiko Epson Corp 集積回路装置の配線法
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5106787A (en) * 1990-11-19 1992-04-21 Taiwan Semiconductor Manufacturing Co. Method for high vacuum controlled ramping curing furnace for SOG planarization
US5223804A (en) * 1990-11-28 1993-06-29 Seiko Epson Corporation Fabrication process for IC circuit and IC circuits fabricated thereby
US5441915A (en) * 1992-09-01 1995-08-15 Taiwan Semiconductor Manufacturing Company Ltd. Process of fabrication planarized metallurgy structure for a semiconductor device
US5250472A (en) * 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5371046A (en) * 1993-07-22 1994-12-06 Taiwan Semiconductor Manufacturing Company Method to solve sog non-uniformity in the VLSI process
US5527737A (en) * 1994-05-27 1996-06-18 Texas Instruments Incorporated Selective formation of low-density, low-dielectric-constant insulators in narrow gaps for line-to-line capacitance reduction
US5432128A (en) * 1994-05-27 1995-07-11 Texas Instruments Incorporated Reliability enhancement of aluminum interconnects by reacting aluminum leads with a strengthening gas
KR950034755A (de) * 1994-05-27 1995-12-28
US5548159A (en) * 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013216011B4 (de) 2012-09-19 2023-03-30 Mitsubishi Electric Corporation Halbleitervorrichtungen

Also Published As

Publication number Publication date
DE69535718D1 (de) 2008-04-10
JP4014234B2 (ja) 2007-11-28
EP0701277A3 (de) 1997-10-01
JPH0864679A (ja) 1996-03-08
US5858871A (en) 1999-01-12
EP0701277A2 (de) 1996-03-13
TW301789B (de) 1997-04-01
EP0701277B1 (de) 2008-02-27

Similar Documents

Publication Publication Date Title
DE69535718T2 (de) Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen
US5548159A (en) Porous insulator for line-to-line capacitance reduction
DE69933598T2 (de) Dielektrikum aus fluoriertem amorphen Kohlenstoff mit einem niedrigen k-Wert, und Verfahren zu dessen Herstellung
DE69730580T2 (de) Verfahren zur Herstellung eines Halbleiterelements mit zwei Isolatoren unterschiedlicher Dielektrizitätskonstante
DE60211915T2 (de) Halbleiterstruktur unter verwendung von opfermaterial und zugeörige herstellungsverfahren
DE19781956B4 (de) Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
DE112007003795B4 (de) Poröses Silizium-Dielektrikum und Herstellungsverfahren
DE10164913B4 (de) Halbleitervorrichtungen mit Filmmaterial mit niedriger Dielektrizitätskonstante und Verfahren zu ihrer Herstellung
DE69531571T2 (de) Verbesserungen in Bezug auf Halbleitervorrichtungen
DE10194958B4 (de) Verfahren zur Herstellung einer Sperr-/ Haftschicht und einer Kristallkeimschicht in einer integrierten Schaltkreisanordnung und zugehörige integrierte Schaltkreisanordnung
DE69531085T2 (de) Verbesserungen in, an oder in Bezug auf Halbleiteranordnungen
DE60019149T2 (de) Infiltrierte nanoporöse materialien und verfahren zu deren herstellung
US5527737A (en) Selective formation of low-density, low-dielectric-constant insulators in narrow gaps for line-to-line capacitance reduction
DE102007046846A1 (de) Seitenwandschutzschicht
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE69535488T2 (de) Verfahren zur Isolierung von Leitungen unter Verwendung von Materialien mit niedriger dielektrischer Konstante und damit hergestellte Strukturen
DE102006029335A1 (de) Zusammensetzung zum Ausbilden eines Isolierfilms und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE10302377B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Metall-Isolator-Metall-Kondensatoren
DE102006036797B4 (de) Verfahren zur Herstellung einer Einzel-Damaszen Struktur mit Einwegschablone
DE19957302C2 (de) Substrat mit mindestens zwei darauf angeordneten Metallstrukturen und Verfahren zu dessen Herstellung
DE102009023378B4 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
EP1711958B1 (de) Verfahren zum Herstellen eines Kondensators mit lokal erhöhter dielektrischer Konstante und eines Zwischendielektrikums mit niedriger dielektrischer Konstante
DE102006048270A1 (de) Verfahren zum Ausbilden eines isolierenden Grabens mit einem dielektrischen Material
DE102018131694A1 (de) Selektives abscheiden einer metallsperrschicht bei damascene-prozessen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee