DE60222532D1 - Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren - Google Patents

Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren

Info

Publication number
DE60222532D1
DE60222532D1 DE60222532T DE60222532T DE60222532D1 DE 60222532 D1 DE60222532 D1 DE 60222532D1 DE 60222532 T DE60222532 T DE 60222532T DE 60222532 T DE60222532 T DE 60222532T DE 60222532 D1 DE60222532 D1 DE 60222532D1
Authority
DE
Germany
Prior art keywords
cleaning
cleaning solution
chemical
composition
mechanical polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60222532T
Other languages
English (en)
Other versions
DE60222532T2 (de
Inventor
Shahriar Naghshineh
Jeff Barnes
Ewa B Oldak
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
ESC Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ESC Inc filed Critical ESC Inc
Publication of DE60222532D1 publication Critical patent/DE60222532D1/de
Application granted granted Critical
Publication of DE60222532T2 publication Critical patent/DE60222532T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3254Esters or carbonates thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Emergency Medicine (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
DE60222532T 2001-02-12 2002-02-06 Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren Expired - Lifetime DE60222532T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/781,859 US6723691B2 (en) 1999-11-16 2001-02-12 Post chemical-mechanical planarization (CMP) cleaning composition
US781859 2001-02-12
PCT/US2002/003422 WO2002065538A2 (en) 2001-02-12 2002-02-06 Post chemical-mechanical planarization (cmp) cleaning composition

Publications (2)

Publication Number Publication Date
DE60222532D1 true DE60222532D1 (de) 2007-10-31
DE60222532T2 DE60222532T2 (de) 2008-05-29

Family

ID=25124179

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60222532T Expired - Lifetime DE60222532T2 (de) 2001-02-12 2002-02-06 Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren

Country Status (7)

Country Link
US (1) US6723691B2 (de)
EP (2) EP1845555B8 (de)
JP (2) JP2004518819A (de)
AT (1) ATE373873T1 (de)
DE (1) DE60222532T2 (de)
TW (1) TWI299885B (de)
WO (1) WO2002065538A2 (de)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030119692A1 (en) * 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US20030138737A1 (en) * 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same
CN1639846A (zh) * 2002-01-28 2005-07-13 三菱化学株式会社 半导体器件用基板的清洗液及清洗方法
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
JP4085262B2 (ja) * 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
TWI264620B (en) * 2003-03-07 2006-10-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2005075924A (ja) * 2003-08-29 2005-03-24 Neos Co Ltd シリカスケール除去剤
WO2005066325A2 (en) * 2003-12-31 2005-07-21 Ekc Technology, Inc. Cleaner compositions containing free radical quenchers
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20050247675A1 (en) * 2004-05-04 2005-11-10 Texas Instruments Incorporated Treatment of dies prior to nickel silicide formation
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP2006016438A (ja) * 2004-06-30 2006-01-19 Dongwoo Fine-Chem Co Ltd 電子部品洗浄液
US7718009B2 (en) * 2004-08-30 2010-05-18 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
US20060073997A1 (en) * 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
KR100628215B1 (ko) * 2004-12-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20060075315A (ko) * 2004-12-28 2006-07-04 동부일렉트로닉스 주식회사 반도체 소자 제조용 구리 화합물 이물질 제거를 위한 세정방법
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7476620B2 (en) * 2005-03-25 2009-01-13 Dupont Air Products Nanomaterials Llc Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
US7365045B2 (en) * 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US7939482B2 (en) 2005-05-25 2011-05-10 Freescale Semiconductor, Inc. Cleaning solution for a semiconductor wafer
EP2687589A3 (de) * 2005-05-26 2014-05-07 Advanced Technology Materials, Inc. Nach dem chemisch-mechanischen Polieren kupferpassivierende Reinigungszusammensetzung und Verwendungsverfahren
JP2008543060A (ja) * 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) * 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
JP4652157B2 (ja) * 2005-07-06 2011-03-16 花王株式会社 銅又は銅合金用洗浄剤組成物
TWI385226B (zh) 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 用於移除聚合物阻障之研磨漿液
KR101152139B1 (ko) 2005-12-06 2012-06-15 삼성전자주식회사 표시 장치용 세정제 및 이를 사용하는 박막 트랜지스터표시판의 제조 방법
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US20070232511A1 (en) * 2006-03-28 2007-10-04 Matthew Fisher Cleaning solutions including preservative compounds for post CMP cleaning processes
WO2007125634A1 (ja) * 2006-03-31 2007-11-08 Sanyo Chemical Industries, Ltd. 銅配線用洗浄剤
JP5101046B2 (ja) * 2006-06-14 2012-12-19 三洋化成工業株式会社 液晶パネル用配向膜の剥離液
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US7538969B2 (en) * 2006-08-23 2009-05-26 Imation Corp. Servo pattern with encoded data
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TWI598468B (zh) 2007-05-17 2017-09-11 恩特葛瑞斯股份有限公司 用於移除化學機械研磨後殘留物之清洗組成物、套組及方法
DE102007058829A1 (de) * 2007-12-06 2009-06-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Textur- und Reinigungsmedium zur Oberflächenbehandlung von Wafern und dessen Verwendung
US8580656B2 (en) 2008-07-14 2013-11-12 Air Products And Chemicals, Inc. Process for inhibiting corrosion and removing contaminant from a surface during wafer dicing and composition useful therefor
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
WO2010086893A1 (ja) * 2009-01-27 2010-08-05 三洋化成工業株式会社 銅配線半導体用洗浄剤
US20100215841A1 (en) 2009-02-20 2010-08-26 E.I. Du Pont De Nemours And Company Process for inhibiting oxide formation on copper surfaces
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
US20110045203A1 (en) * 2009-08-21 2011-02-24 E. I. Du Pont De Nemours And Company Process for inhibiting oxide formation on copper surfaces
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) * 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5702075B2 (ja) * 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
KR101696390B1 (ko) * 2010-06-08 2017-01-13 주식회사 동진쎄미켐 Tft-lcd 또는 반도체 소자용 세정제 조성물
US8883701B2 (en) 2010-07-09 2014-11-11 Air Products And Chemicals, Inc. Method for wafer dicing and composition useful thereof
JP6215511B2 (ja) * 2010-07-16 2017-10-18 栗田工業株式会社 ボイラ用防食剤
CN101972755B (zh) * 2010-07-21 2012-02-01 河北工业大学 Ulsi铜材料抛光后表面清洗方法
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2814895A4 (de) 2012-02-15 2015-10-07 Entegris Inc Post-cmp-beseitigung mittels zusammensetzungen und verwendungsverfahren
CN104334706A (zh) * 2012-03-18 2015-02-04 安格斯公司 具有改进的阻挡层相容性和清洁性能的cpm后配制物
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
WO2013173743A2 (en) * 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Aqueous clean solution with low copper etch rate for organic residue removal improvement
TWI572711B (zh) 2012-10-16 2017-03-01 盟智科技股份有限公司 半導體製程用的清洗組成物及清洗方法
KR101261599B1 (ko) 2012-10-23 2013-05-06 (주)아이리스 친환경 장비 세척제 및 이의 제조방법
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
CN103513522B (zh) * 2013-09-25 2018-06-01 青岛果子科技服务平台有限公司 半导体清洗组合物
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
DE102014105823A1 (de) * 2014-04-25 2015-10-29 Harting Kgaa Nachreinigungsverfahren von metallischen Kontaktelementen
CN106661518B (zh) * 2014-07-18 2020-01-14 嘉柏微电子材料股份公司 在cmp后使用的清洁组合物及其相关方法
JP2015099938A (ja) * 2015-02-19 2015-05-28 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
CN106634595B (zh) * 2016-11-02 2018-06-08 卓聪(上海)环保科技发展有限公司 一种自净环保型通信设备在线养护剂及其制备方法
JP6966570B2 (ja) 2017-04-11 2021-11-17 インテグリス・インコーポレーテッド 化学機械研磨後配合物及び使用方法
WO2020045414A1 (ja) 2018-08-30 2020-03-05 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
TW202214831A (zh) * 2020-09-04 2022-04-16 日商花王股份有限公司 基板之洗淨方法
CN113186539B (zh) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液及其制备方法
CN113774392B (zh) * 2021-08-12 2023-12-01 上海新阳半导体材料股份有限公司 一种用于化学机械抛光后的清洗液及其制备方法
CN113774390B (zh) * 2021-08-12 2023-08-04 上海新阳半导体材料股份有限公司 一种用于化学机械抛光后的清洗液及其制备方法
CN117946812A (zh) * 2022-10-18 2024-04-30 安集微电子科技(上海)股份有限公司 一种清洗组合物

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4168989A (en) 1975-06-10 1979-09-25 Westinghouse Electric Corp. Stripping composition for thermoset resins and method of repairing electrical apparatus
US4294729A (en) 1979-12-17 1981-10-13 International Business Machines Corporation Composition containing alcohol and use thereof for epoxy removal
US4487708A (en) 1980-07-14 1984-12-11 Betz Laboratories, Inc. Hydroquinone oxygen scavenger for use in aqueous mediums
US4395348A (en) 1981-11-23 1983-07-26 Ekc Technology, Inc. Photoresist stripping composition and method
JPS59219743A (ja) 1983-05-28 1984-12-11 Tokyo Ohka Kogyo Co Ltd ポジ型レジスト現像液
US4617251A (en) 1985-04-11 1986-10-14 Olin Hunt Specialty Products, Inc. Stripping composition and method of using the same
DE3523088A1 (de) 1985-06-28 1987-01-08 Hoechst Ag Verfahren zur vermeidung der korrosion metallischer werkstoffe
JPH0626708B2 (ja) 1985-09-10 1994-04-13 関西ペイント株式会社 複合塗膜形成法
DE3537441A1 (de) 1985-10-22 1987-04-23 Hoechst Ag Loesemittel zum entfernen von photoresists
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5185235A (en) 1987-09-09 1993-02-09 Tokyo Ohka Kogyo Co., Ltd. Remover solution for photoresist
US5190723A (en) 1988-02-25 1993-03-02 Ciba-Geigy Corporation Process for inhibiting corrosion
US5143648A (en) 1989-07-20 1992-09-01 Nippon Hypox Laboratories Incorporated Ascorbic acid derivative and use as antioxidant
US5091103A (en) 1990-05-01 1992-02-25 Alicia Dean Photoresist stripper
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5556482A (en) 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
US5496491A (en) 1991-01-25 1996-03-05 Ashland Oil Company Organic stripping composition
US5753601A (en) 1991-01-25 1998-05-19 Ashland Inc Organic stripping composition
US5988186A (en) 1991-01-25 1999-11-23 Ashland, Inc. Aqueous stripping and cleaning compositions
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5391258A (en) 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5407788A (en) 1993-06-24 1995-04-18 At&T Corp. Photoresist stripping method
JP3302120B2 (ja) 1993-07-08 2002-07-15 関東化学株式会社 レジスト用剥離液
US5419877A (en) 1993-09-17 1995-05-30 General Atomics Acoustic barrier separator
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
TW274630B (de) * 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5567574A (en) 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
KR100360394B1 (ko) 1995-12-20 2003-01-24 삼성전자 주식회사 반도체기판의세정방법및이에사용되는세정액
US5704987A (en) 1996-01-19 1998-01-06 International Business Machines Corporation Process for removing residue from a semiconductor wafer after chemical-mechanical polishing
US5648324A (en) 1996-01-23 1997-07-15 Ocg Microelectronic Materials, Inc. Photoresist stripping composition
US5665688A (en) 1996-01-23 1997-09-09 Olin Microelectronics Chemicals, Inc. Photoresist stripping composition
KR100207469B1 (ko) 1996-03-07 1999-07-15 윤종용 반도체기판의 세정액 및 이를 사용하는 세정방법
US5932021A (en) 1996-06-26 1999-08-03 Cala; Francis R. Aqueous cleaning composition for removing flux and method of use
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5756398A (en) 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US5922136A (en) 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
JPH11282176A (ja) * 1998-03-26 1999-10-15 Toray Fine Chemical Kk フォトレジスト剥離用組成物
US5935871A (en) 1997-08-22 1999-08-10 Motorola, Inc. Process for forming a semiconductor device
US6060439A (en) * 1997-09-29 2000-05-09 Kyzen Corporation Cleaning compositions and methods for cleaning resin and polymeric materials used in manufacture
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
JPH11283953A (ja) * 1998-03-27 1999-10-15 Sanyo Electric Co Ltd 半導体ウエハの洗浄液及びその洗浄方法
JP3775054B2 (ja) * 1998-04-28 2006-05-17 ぺんてる株式会社 ボールペン用水性インキ
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP4283952B2 (ja) * 1999-10-12 2009-06-24 多摩化学工業株式会社 非鉄金属洗浄用洗浄液組成物
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition

Also Published As

Publication number Publication date
TWI299885B (en) 2008-08-11
EP1360712B9 (de) 2008-10-29
US6723691B2 (en) 2004-04-20
JP2004518819A (ja) 2004-06-24
DE60222532T2 (de) 2008-05-29
ATE373873T1 (de) 2007-10-15
WO2002065538A2 (en) 2002-08-22
JP2009013417A (ja) 2009-01-22
US20010004633A1 (en) 2001-06-21
WO2002065538A3 (en) 2003-02-13
EP1845555B8 (de) 2016-06-29
EP1360712A2 (de) 2003-11-12
EP1845555A1 (de) 2007-10-17
EP1845555B1 (de) 2015-09-16
EP1360712B1 (de) 2007-09-19
JP5097640B2 (ja) 2012-12-12

Similar Documents

Publication Publication Date Title
DE60222532D1 (de) Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren
DE60141629D1 (de) Zusammensetzung zur reinigung nach einer chemisch-mechanischen planarisierung
WO2001040425A3 (en) Post chemical-mechanical planarization (cmp) cleaning composition
DE60030877D1 (de) Nichtkorrosive reinigungszusammensetzung zur entfernung von plasmaätzrückständen
DE69816219D1 (de) Reinigungsnachbehandlung
EP1446460A4 (de) Kupferhaltiges wässriges reinigungsmittel sowie spezieller korrosionsinhibitor zur abreinigung von anorganischen resten von halbleitersubstrat
DE69529705T2 (de) Verfahren und Lösung zur Reinigung eines Substrats von einer Metallkontamination
TW200706647A (en) Aqueous cleaner with low metal etch rate
IL173664A0 (en) Stripping and cleaning compositions for microelectronics
ZA200700653B (en) Cleaning compositions for microelectronics substrates
CA2223384A1 (en) Improved compositions for and methods of cleaning and disinfecting hard surfaces
NO20055662D0 (no) Anvendelse av kvaternaere ammoniumkarbonater og bikarbonater som korrosjonsbeskyttende midler, metodefor a hindre korrosjon og antikorrosive belegg somanvender disse midlene
ATE369623T1 (de) Alkalische reinigungsmittel zur reinigung nach einer chemisch-mechanischen planarisierung
EP0982765A3 (de) Verfahren zur Reinigung eines Halbleitersubstrats
AR051419A1 (es) Metodo de limpieza de contenedor para reciclar
MY127401A (en) Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
DE60045416D1 (de) Verfahren zur behandlung von mikroelektroniksubstraten
AU4189599A (en) Silicate-containing alkaline compositions for cleaning microelectronic substrates
WO2002094767A3 (en) Trisubstituted-n-[(1s)-1,2,3,4-tetrahydro-1-naphthalenyl] benzamides which inhibit p2x3 and p2x2/3 containing receptors
SG152961A1 (en) Flouride-containing photoresist stripper or residue removing cleaning compositions containing conjugate oligomeric or polymeric material of alpha-hydroxycarbonyl compound/amine or ammonia reaction
NO961014D0 (no) Metallblanding med en sur rengjöringslösning som inneholder ioner av sjeldne jordarter
GB2422546A (en) Bovine germicide application device
DE60136408D1 (de) Verfahren zur chemisch-mechanischen Polierung von Metalleitungen mit niedrigem Mulden-Effekt in der Herstellung von halbleitenden Wafern
DE602004008863D1 (de) Zusammensetzung und Verfahren zur Behandlung von Halbleitersubstraten
ATE275100T1 (de) Sequestrierung

Legal Events

Date Code Title Description
8327 Change in the person/name/address of the patent owner

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., DANBURY, , US

8328 Change in the person/name/address of the agent

Representative=s name: SCHWABE, SANDMAIR, MARX, 81677 MUENCHEN

8364 No opposition during term of opposition