DE3274470D1 - Multiple chamber deposition and isolation system and method - Google Patents

Multiple chamber deposition and isolation system and method

Info

Publication number
DE3274470D1
DE3274470D1 DE8282108699T DE3274470T DE3274470D1 DE 3274470 D1 DE3274470 D1 DE 3274470D1 DE 8282108699 T DE8282108699 T DE 8282108699T DE 3274470 T DE3274470 T DE 3274470T DE 3274470 D1 DE3274470 D1 DE 3274470D1
Authority
DE
Germany
Prior art keywords
layers
chambers
chamber
deposition
conductivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE8282108699T
Other languages
English (en)
Inventor
Vincent D Canella
Masatsugu Izu
Stephen J Hudgens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Energy Conversion Devices Inc
Original Assignee
Energy Conversion Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23184044&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE3274470(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Energy Conversion Devices Inc filed Critical Energy Conversion Devices Inc
Application granted granted Critical
Publication of DE3274470D1 publication Critical patent/DE3274470D1/de
Expired legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S118/00Coating apparatus
    • Y10S118/90Semiconductor vapor doping
DE8282108699T 1981-09-28 1982-09-21 Multiple chamber deposition and isolation system and method Expired DE3274470D1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/306,146 US4438723A (en) 1981-09-28 1981-09-28 Multiple chamber deposition and isolation system and method

Publications (1)

Publication Number Publication Date
DE3274470D1 true DE3274470D1 (en) 1987-01-15

Family

ID=23184044

Family Applications (1)

Application Number Title Priority Date Filing Date
DE8282108699T Expired DE3274470D1 (en) 1981-09-28 1982-09-21 Multiple chamber deposition and isolation system and method

Country Status (16)

Country Link
US (1) US4438723A (de)
EP (1) EP0076426B1 (de)
JP (1) JPS5870524A (de)
KR (1) KR900007042B1 (de)
AT (1) ATE23883T1 (de)
AU (1) AU552270B2 (de)
BR (1) BR8205600A (de)
CA (1) CA1186280A (de)
DE (1) DE3274470D1 (de)
ES (2) ES516034A0 (de)
IE (1) IE53843B1 (de)
IL (1) IL66784A (de)
IN (1) IN157462B (de)
PH (1) PH18998A (de)
PT (1) PT75612B (de)
ZA (1) ZA826615B (de)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4492181A (en) * 1982-03-19 1985-01-08 Sovonics Solar Systems Apparatus for continuously producing tandem amorphous photovoltaic cells
US4485125A (en) * 1982-03-19 1984-11-27 Energy Conversion Devices, Inc. Method for continuously producing tandem amorphous photovoltaic cells
US4515107A (en) * 1982-11-12 1985-05-07 Sovonics Solar Systems Apparatus for the manufacture of photovoltaic devices
JPS60119784A (ja) * 1983-12-01 1985-06-27 Kanegafuchi Chem Ind Co Ltd 絶縁金属基板の製法およびそれに用いる装置
JPS59201412A (ja) * 1983-04-30 1984-11-15 Agency Of Ind Science & Technol 非晶質半導体素子製造装置
JPS59214221A (ja) * 1983-05-20 1984-12-04 Sanyo Electric Co Ltd アモルフアス半導体の製造方法
JPS59219928A (ja) * 1983-05-27 1984-12-11 Fuji Electric Corp Res & Dev Ltd プラズマcvd装置
JPS60110176A (ja) * 1983-11-21 1985-06-15 Agency Of Ind Science & Technol 太陽電池製造装置
JPS60149119A (ja) * 1984-01-13 1985-08-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
EP0150798B1 (de) 1984-01-23 1989-05-31 Energy Conversion Devices, Inc. Mit Dioden aus amorphen Siliziumlegierugen gesteuerte Flüssigkristallanzeigevorrichtungen
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
AU584607B2 (en) * 1984-02-17 1989-06-01 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Film forming method and apparatus
US4514437A (en) * 1984-05-02 1985-04-30 Energy Conversion Devices, Inc. Apparatus for plasma assisted evaporation of thin films and corresponding method of deposition
DE3427057A1 (de) * 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
US4749588A (en) * 1984-09-07 1988-06-07 Nobuhiro Fukuda Process for producing hydrogenated amorphous silicon thin film and a solar cell
JPS6179755A (ja) * 1984-09-28 1986-04-23 Nisshin Steel Co Ltd 溶融めつき真空蒸着めつき兼用の連続めつき装置
JPH0697649B2 (ja) * 1984-11-27 1994-11-30 松下電器産業株式会社 非晶質膜半導体の製造法
US4697041A (en) * 1985-02-15 1987-09-29 Teijin Limited Integrated solar cells
EP0210578B1 (de) * 1985-07-29 1992-05-20 Energy Conversion Devices, Inc. Verfahren und Vorrichtung zum kontinuierlichen Niederschlagen von elektrischen Isolatoren
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
US4803947A (en) * 1986-01-15 1989-02-14 Canon Kabushiki Kaisha Apparatus for forming deposited film
JPS62271418A (ja) * 1986-05-20 1987-11-25 Matsushita Electric Ind Co Ltd 非晶質シリコン半導体素子の製造方法
US4841908A (en) * 1986-06-23 1989-06-27 Minnesota Mining And Manufacturing Company Multi-chamber deposition system
IL82673A0 (en) * 1986-06-23 1987-11-30 Minnesota Mining & Mfg Multi-chamber depositions system
US4874631A (en) * 1986-06-23 1989-10-17 Minnesota Mining And Manufacturing Company Multi-chamber deposition system
GB2195663B (en) * 1986-08-15 1990-08-22 Nippon Telegraph & Telephone Chemical vapour deposition method and apparatus therefor
US4920917A (en) * 1987-03-18 1990-05-01 Teijin Limited Reactor for depositing a layer on a moving substrate
JPS63262472A (ja) * 1987-04-20 1988-10-28 Sanyo Electric Co Ltd 膜形成方法
US5155565A (en) * 1988-02-05 1992-10-13 Minnesota Mining And Manufacturing Company Method for manufacturing an amorphous silicon thin film solar cell and Schottky diode on a common substrate
US4862827A (en) * 1988-06-28 1989-09-05 Wacker-Chemie Gmbh Apparatus for coating semiconductor components on a dielectric film
US5053625A (en) * 1988-08-04 1991-10-01 Minnesota Mining And Manufacturing Company Surface characterization apparatus and method
US5001939A (en) * 1988-08-04 1991-03-26 Minnesota Mining And Manufacturing Co. Surface characterization apparatus and method
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5281541A (en) * 1990-09-07 1994-01-25 Canon Kabushiki Kaisha Method for repairing an electrically short-circuited semiconductor device, and process for producing a semiconductor device utilizing said method
TW237562B (de) 1990-11-09 1995-01-01 Semiconductor Energy Res Co Ltd
JP2824808B2 (ja) * 1990-11-16 1998-11-18 キヤノン株式会社 マイクロ波プラズマcvd法による大面積の機能性堆積膜を連続的に形成する装置
US5629054A (en) * 1990-11-20 1997-05-13 Canon Kabushiki Kaisha Method for continuously forming a functional deposit film of large area by micro-wave plasma CVD method
JP2810532B2 (ja) * 1990-11-29 1998-10-15 キヤノン株式会社 堆積膜形成方法及び堆積膜形成装置
US6979840B1 (en) * 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US5919310A (en) * 1991-10-07 1999-07-06 Canon Kabushiki Kaisha Continuously film-forming apparatus provided with improved gas gate means
JP3073327B2 (ja) * 1992-06-30 2000-08-07 キヤノン株式会社 堆積膜形成方法
DE4324320B4 (de) * 1992-07-24 2006-08-31 Fuji Electric Co., Ltd., Kawasaki Verfahren und Vorrichtung zur Herstellung einer als dünne Schicht ausgebildeten fotovoltaischen Umwandlungsvorrichtung
US5946587A (en) * 1992-08-06 1999-08-31 Canon Kabushiki Kaisha Continuous forming method for functional deposited films
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US6183816B1 (en) 1993-07-20 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating the coating
US5432073A (en) * 1993-09-27 1995-07-11 United Microelectronics Corporation Method for metal deposition without poison via
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
US6096389A (en) * 1995-09-14 2000-08-01 Canon Kabushiki Kaisha Method and apparatus for forming a deposited film using a microwave CVD process
US5997588A (en) * 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6153013A (en) * 1996-02-16 2000-11-28 Canon Kabushiki Kaisha Deposited-film-forming apparatus
US5743966A (en) * 1996-05-31 1998-04-28 The Boc Group, Inc. Unwinding of plastic film in the presence of a plasma
WO1997049132A1 (en) * 1996-06-20 1997-12-24 Jeffrey Frey Light-emitting semiconductor device
US6159763A (en) * 1996-09-12 2000-12-12 Canon Kabushiki Kaisha Method and device for forming semiconductor thin film, and method and device for forming photovoltaic element
AU3965499A (en) * 1998-07-10 2000-02-01 Silicon Valley Group Thermal Systems, Llc Chemical vapor deposition apparatus employing linear injectors for delivering gaseous chemicals and method
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
JP2003133230A (ja) * 2001-10-29 2003-05-09 Mitsubishi Heavy Ind Ltd フレキシブル基板の半導体処理装置
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
DE60211470T2 (de) * 2002-03-15 2006-11-09 Vhf Technologies S.A. Vorrichtung und Verfahren zur Herstellung von flexiblen Halbleiter-Einrichtungen
DE102005013537A1 (de) * 2004-03-24 2005-10-20 Sharp Kk Fotoelektrischer Wandler und Herstellverfahren für einen solchen
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20060096536A1 (en) * 2004-11-10 2006-05-11 Daystar Technologies, Inc. Pressure control system in a photovoltaic substrate deposition apparatus
EP1810344A2 (de) * 2004-11-10 2007-07-25 Daystar Technologies, Inc. Palleten-basiertes system zur bildung von dünnfilmsolarzellen
US20080090022A1 (en) * 2006-10-12 2008-04-17 Energy Conversion Devices, Inc. High rate, continuous deposition of high quality amorphous, nanocrystalline, microcrystalline or polycrystalline materials
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
CA2691856A1 (en) * 2007-06-25 2008-12-31 Posnansky, Andre Roof structure for a solar system
CN106277816B (zh) * 2016-07-29 2019-08-23 爱发科豪威光电薄膜科技(深圳)有限公司 镀膜生产线多级气氛隔离装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3530057A (en) * 1967-05-29 1970-09-22 Nat Res Corp Sputtering
FR1583684A (de) * 1968-01-31 1969-11-28
US3805736A (en) * 1971-12-27 1974-04-23 Ibm Apparatus for diffusion limited mass transport
US4015558A (en) * 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
FR2307202A1 (fr) * 1975-04-07 1976-11-05 Sogeme Dispositif d'etancheite separant deux enceintes entre lesquelles circule un materiau en continu
JPS51131461A (en) * 1975-05-13 1976-11-15 Ulvac Corp A vacuum treatment chamber apparatus
DE2638269C2 (de) * 1976-08-25 1983-05-26 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur Herstellung von substratgebundenem, großflächigem Silicium
JPS54153740A (en) * 1978-05-25 1979-12-04 Ulvac Corp Continuous vacuum treatment apparatus
JPS5578524A (en) * 1978-12-10 1980-06-13 Shunpei Yamazaki Manufacture of semiconductor device
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
JPS5934421B2 (ja) * 1979-11-29 1984-08-22 住友電気工業株式会社 薄膜製造法
JPS56114387A (en) * 1980-02-13 1981-09-08 Sanyo Electric Co Ltd Manufacture of photovoltaic force element

Also Published As

Publication number Publication date
EP0076426A3 (en) 1984-07-25
IN157462B (de) 1986-04-05
JPS5870524A (ja) 1983-04-27
BR8205600A (pt) 1983-08-30
ZA826615B (en) 1983-08-31
JPH0338731B2 (de) 1991-06-11
AU8813682A (en) 1983-04-14
PT75612B (en) 1984-10-29
CA1186280A (en) 1985-04-30
ES8400635A1 (es) 1983-10-16
KR900007042B1 (ko) 1990-09-27
PH18998A (en) 1985-12-03
ES516034A0 (es) 1983-10-16
EP0076426B1 (de) 1986-11-26
ATE23883T1 (de) 1986-12-15
IE53843B1 (en) 1989-03-15
IL66784A (en) 1985-09-29
IE822164L (en) 1983-03-28
PT75612A (en) 1982-10-01
AU552270B2 (en) 1986-05-29
US4438723A (en) 1984-03-27
ES522825A0 (es) 1984-09-16
IL66784A0 (en) 1982-12-31
EP0076426A2 (de) 1983-04-13
ES8407626A1 (es) 1984-09-16

Similar Documents

Publication Publication Date Title
DE3274470D1 (en) Multiple chamber deposition and isolation system and method
KR930005115A (ko) 저온,고압 상태에서의 실리콘 증착방법
SE8103043L (sv) Sett att framstella p-dopade kiselfilmer samt av dessa tillverkade don
SE8207034D0 (sv) Fotocellsdon och sett att framstella detsamma
WO2003031679A3 (en) Method for depositing metal layers employing sequential deposition techniques
ES2046925B1 (es) Una herramienta de corte.
ES8606816A1 (es) Aparato para depositar revestimientos de capas multiples sobre substratos
EP0310043A3 (de) Gegen Oxydation und thermischen Zyklus bei hoher Temperatur resistente Beschichtung für Silicium enthaltende Substrate und Verfahren zur Herstellung
KR950703073A (ko) 결정성 질화규소의 저온 화학적 증기증착 방법(molybdenum enhanced lowtemperature deposition of crystalline silicon nitride)
ES2058354T3 (es) Metodo para depositar wolframio sobre silicio en un procedimiento cvd que no es autolimitante y dispositivo semiconductor fabricado por el.
GR3032460T3 (en) Multilayered composites and process of manufacture
EP0193298A3 (de) Verfahren zum Herstellen epitaktischer Schichten für integrierte Schaltkreise
EP0333149A3 (de) Keramikverbund und Verfahren zu seiner Herstellung
JPS5568621A (en) Heat treatment jig
JPS57113296A (en) Switching element
JPS57149751A (en) Semiconductor device
FR2455362A1 (fr) Procede de realisation de cellules solaires, a base de silicium polycristallin depose sur du carbone, et cellules solaires ainsi obtenues
JPS5670448A (en) Oxygen sensor
GB1177302A (en) Improvements in or relating to Grid Electrodes
JPS5526681A (en) Semiconductor device and its manufacturing method
CHU et al. Chemical vapor deposition of silicon on pyrolytic carbon
Feldman et al. Vacuum deposited polycrystalline silicon films for solar cell applications, volume 2
JPS55100299A (en) Production of silicon carbide crystal layer
TW283792B (en) Structure with metal wiring and contact plug and process thereof

Legal Events

Date Code Title Description
8363 Opposition against the patent
8365 Fully valid after opposition proceedings