DE2832153A1 - Verfahren zur herstellung von halbleitervorrichtungen - Google Patents

Verfahren zur herstellung von halbleitervorrichtungen

Info

Publication number
DE2832153A1
DE2832153A1 DE19782832153 DE2832153A DE2832153A1 DE 2832153 A1 DE2832153 A1 DE 2832153A1 DE 19782832153 DE19782832153 DE 19782832153 DE 2832153 A DE2832153 A DE 2832153A DE 2832153 A1 DE2832153 A1 DE 2832153A1
Authority
DE
Germany
Prior art keywords
semiconductor
single crystal
conductivity type
crystal region
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19782832153
Other languages
English (en)
Other versions
DE2832153C2 (de
Inventor
Kenji Miyata
Takuzo Ogawa
Takaya Suzuki
Mitsuru Ura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of DE2832153A1 publication Critical patent/DE2832153A1/de
Application granted granted Critical
Publication of DE2832153C2 publication Critical patent/DE2832153C2/de
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/866Zener diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/083Anode or cathode regions of thyristors or gated bipolar-mode devices
    • H01L29/0834Anode regions of thyristors or gated bipolar-mode devices, e.g. supplementary regions surrounding anode regions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/122Polycrystalline
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/983Zener diodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Thyristors (AREA)

Description

BESCHREIBUNG
Die Erfindung betrifft ein Verfahren zur Herstellung von Halbleiter-Vorrichtungen. Im einzelnen richtet sie sich auf ein Verfahren zur Ausbildung von pn-übergängen nach der Diffusionsmethode.
Es wurdenbereits verschiedene Verfahren für die Diffusion von Fremdstoffen vorgeschlagen.
Unter diesen s"ind unter den Gesichtspunkten der Verhinderung einer Kontamination der Oberfläche eines Halbleitersubstrats und der Steuerung der Fremdstoffkonzen-0 tration Festkörper-Festkörper-Diffusionsverfahren ausgezeichnet. Unter diesen Festkörper-Festkörper-Diffusionsverfahren ist besonders bevorzugt ein Verfahren, bei welchem ein polykristalliner oder amorpher Halbleiterfilm, welcher darin die zu diffundierenden Fremdstoffe enthält, auf dem Substrat ausgebildet und als Diffusionsquelle verwendet wird (im folgenden als "Dotiert-Polyhalbleiter-Verfahren" bezeichnet). Diese Bevorzugung ergibt sich aus den Gesichtspunkten eines Schutzes der Oberfläche des Halbleitersubstrats und der Verhinderung eines Zusammenbruchs eines seichten Diffusionsübergangs bei der Hochtemperaturbehandlung zur Ausbildung von Elektroden, weil der polykristalline oder amorphe Halbleiterfilm nach Beendigung der Diffusion belassen werden kann und die Metallelektroden auf diesen Film ausgebildet werden können.
Ein Beispiel der Anwendung des Dotiert-Polyhalbleiter-Verfahrens auf die Herstellung von Halbleitervorrichtungen ist in der.US-Patentschrift 3 460 007 beschrieben. Dort ist ein Verfahren beschrieben, bei welchem Bor selektiv aus der Gasphase in ein Einkristall-Halbleitersubstrat des η-Typs zur Ausbildung eines p-Halblei-
809884/1072
terbereichs diffundiert und das Halbleitersubstrat auf eine vorgegebene Temperatur von 853 bis 973 K (580 bis 700° C) unter Stickstoffatmosphäre in einem Ofen erhitzt, ein den Leitfähigkeitstyp umkehrender Bestandteil, der in diesem Fall Phosphor ist, und Silan in den Ofen eingeführt und durch thermische Zersetzung des Silans bei der vorgegebenen Temperatur eine polykristalline Siliziumschicht des η-Typs auf dem p-Halbleiterbereich abgeschieden wird. Ferner ist dort ein Verfahren zur Ausbildung eines npn-Transistors beschrieben, bei welchem das obige Halbleitersubstrat weiter erwärmt wird, um im p-Halbleiterbereich einen mit diesem einen pn-übergang bildenden n-Halbleiterbereich durch Diffusion von Phosphor aus der polykristallinen Siliziumschicht des η-Typs auszubilden, und Elektroden auf dem n-Halbleitersubstrat, dem freiliegenden Gebiet des p-Einkristallhalbleiterbereichs bzw. der Oberfläche der polykristallinen Siliziumschicht des n-Typs ausgebildet werden. Dieses Verfahren ist dadurch gekennzeichnet, daß das Halbleitersubstrat auf eine bestimmte Temperatur erwärmt wird, die zur Herbeiführung der thermischen Zersetzung von Silan ausreicht, und daß dann Silan und eine Phosphorverbindung auf das Halbleitersubstrat geleitet werden. Bei diesem Verfahren wird jedoch der Reproduzierbarkeit der Verteilung der Konzentration von Fremdstoffen oder der stufenartigen Verteilung von Fremdstoffen des pn-übergangs überhaupt kein Augenmerk geschenkt.
Die offengelegte japanische Patentanmeldung 13 572/76 beschreibt ein Verfahren zur Herstellung polykristalliner Halbleiterfilme mit gleichförmiger und vergleichsweise großer Korngröße. Gemäß dem beschriebenen Verfahren wird ein Substrat (beispielsweise eine Mo-Platte) in einem Ofen auf eine erste Niederschlagtemperatur (beispielsweise 823 K
809884/1072
(550° C)) erwärmt, die Temperatur dann auf eine zweite Niederschlagstemperatur (beispielsweise 893 K (620° C)), die über der ersten Niederschlagtemperatur liegt, erhöht und ein Reaktionsgas in den Ofen während der Temperaturerhöhung oder danach eingeführt, wobei sich ein bestimmter zweiter polykristalliner Film auf dem Substrat ausbildet. Auch bei diesem Verfahren wird eine Technik angewandt, bei nach der Erwärmung des Substrats auf eine oberhalb der Niederschlagtemperatur liegende Temperatur ein Reaktionsgas, welches eine abzuscheidende Substanz enthält, auf das Substrat geleitet wird. Es ist dort nicht beschrieben, einen Halbleiter als Substrat oder den polykristallinen Film als Quelle für die Diffusion von Fremdstoffen in das Halbleitersubstrat zu verwenden.
Von den Erfindern durchgeführte Experimente haben gezeigt, daß, wenn ein dotierter Polyhalbleiter aus der Gasphase auf einem Einkristallhalbleitersubstrat gezogen wird, das nach dem oben genannten Dotiert-Polyhalbleiter-Verfahren auf eine bestimmte Temperatur erwärmt worden ist, im anfänglichen Wachstumstadium nicht ein dotierter Polyhalbleiter sondern ein dotierter Monohalbleiter gebildet wird. Die Dicke dieser dotierten Monohalbleiterschicht beträgt maximal mehrere tausend Ä, wobei diese Dicke sehr empfindlich durch Faktoren wie das Kristallazimut, die Oberflächenreinheit und den Polierzustand der Oberfläche beeinflußt wird, sich aber nicht durch Einstellung dieser Faktoren steuern läßt. Da eine dotierte Monohalbleiterschicht, die im Änfangsstadium durch Diffusion in das Halbleitersubstrat gebildet wird, als ein Teil der Einkristall-Schicht mit dem gleichen Leitungstyp wie die dotierte Monohalbleiterschicht wirkt, tritt der Nachteil auf, daß es sehr schx-jierig ist, die Fremdstoff menge in der Diffu-
~9~ 2B32153
sionsschicht und die Diffusionstiefe exakt zu steuern.
Trotzdem läßt sich das Dotiert-Polyhalbleiter-Verfahren für die Herstellung einer Halbleitervorrichtung, bei der ein Stufenübergang erforderlich ist, beispielsweise für die Herstellung einer Zener-Diode, ziehen.
In diesem Fall wird jedoch zusätzlich zu dem oben genannten Nachteil mit der Bildung eines dotierten Monohalbleiters ein anderer unangenehmer Nachteil hervorgerufen. Im einzelnen heißt dies, daß, da die Geschwindigkeit der direkten Diffusion von Fremdstoffen in das Einkristallsubstrat aus dem Polyhalbleiter niedriger als die Diffusionsgeschwindigkeit von Fremdstoffen in das Einkristallsubstrat aus oder durch den Monohalbleiter ist, bei einer Zener-Diode, bei welcher eine hohe Stufencharakteristik des pn-Übergangs erforderlich ist, der notwendige Fremdstoffkonzentrationsgradient nicht erreicht werden kann. Die sich ergebende Zener-Diode zeigt daher nicht die erforderliche Stufencharakteristik.
Die Erfindung schafft ein Dotiert-Polyhalbleiter-Verfahren, bei welchem die Dicke der Diffusionsschicht und die Menge der Fremdstoffe exakt gesteuert werden kann.
Ferner schafft die Erfindung ein Dotiert-Polyhalbleiter-Verfahren, mit welchem sich ein Übergang, der eine gute Stufencharakteristik hat, gewinnen läßt.
Die Erfindung schlägt ein Verfahren zur Ausbildung eines Diffusionsübergangs -in einem Einkristallhalbleitersubstrat mit einer Schicht eines bestimmten Leitfähigkeitstyps auf wenigstens einer der Hauptflächen desselben nach der Dotiert-Polyhalbleiter-Methode vor, wobei das Verfahren dadurch gekennzeichnet ist, daß ein Gas einer Ausgangssubstanz eines Halbleiters, ein Dotierungsgas und ein Trägergas dazu auf die Einkristallschicht des
809884/1072
Halbleitersubstrats zugeführt werden, das auf einer Temperatur gehalten wird, die unter der Temperatur liegt, bei der ein Halbleiter wesentlich aus der Gasphase niedergeschlagen wird, daß dann die Temperatur auf eine Temperatür angehoben wird, die ausreicht, einen wesentlichen Niederschlag des Halbleiters herbeizuführen und eine vorgegebene polykristalline Halbleiterschicht auszubilden, und daß Fremdstoffe, die den Leitungstyp der polykristallinen Halbleiterschicht bestimmen, in die Schicht des einen Leitungstyps des Halbleitersubstrats gleichzeitig mit der Ausbildung der polykristallinen Halbleiterschicht oder durch nachfolgende Wärmebehandlung eindiffundiert werden. Da nach dem erfindungsgemäßen Verfahren ein polykristalliner Halbleiter selbst im anfänglichen Wachsstadium gebildet wird, lassen sich die vorgenannten Nachteile vermeiden.
Die kritische Temperatur, bei welcher ein Halbleiter in nennenswertem Maße aus einem Gas einer Ausgangssubstanz für einen Halbleiter, einem Dotierungsgas und einem Trägergas dafür abgeschieden wird, ändert sich je nach Art des Substanzgases, von dem ausgegangen wird, und nach dem Molverhältnis des Ausgarigs-Substanzgases zum Trägergas, wobei es schwierig ist, diese kritische Temperatur einfach zu bestimmen. Wenn jedoch die Art des Ausgangs-Substanzgases und die anderen Bedingungen feststehen, läßt sich die kritische Temperatur experimentell oder nach einer empirischen Formel, die aus den experimentellen Ergebnissen abgeleitet ist, bestimmen. Diese Bedingungen, die die kritische Temperatur bestimmen, werden bei der Durchführung der Erfindung in geeigneter Weise eingestellt.
Da jedoch die Zeit, für die das Halbleitersubstrat auf dieser kritischen Temperatur während der Herstellung
809 8 84/1072
der Halbleitervorrichtung gehalten wird, äußerst kurz ist, ist unabhängig von der Art des Ausgangs-Substanzgases kein Wachsen des Halbleiters zu erwarten, wenn die Temperatur niedriger als ungefähr 773 K (500° C) ist.
Im Hinblick auf eine Vereinfachung der Verfahrensschritte wird bevorzugt, daß die Diffusion gleichzeitig mit dem Abscheiden eines polykristallinen Halbleiters auf dem Halbleitersubstrat durchgeführt wird. Dementsprechend wird bevorzugt, daß die Temperatur für den Niederschlag einer polykristallinen Halbleiterschicht hoch genug dafür ist, daß Fremdstoffe unter Verwendung dieser Schicht als Diffusionquelle diffundieren- Auch diese Temperatur wird in geeigneter Weise unter praktischer Durchführung der Erfindung entschieden. Wenn diese Temperatur zu niedrig ist, ist die Wachstumsgeschwindigkeit niedrig, ist sie zu hoch, so kann ein Einkristallhalbleiter wachsen. Es wird bevorzugt, daß diese Temperatur niedriger als 1223 K (950° C) ist.
Das erfindungsgemäße Verfahren wird nun in Verbindung mit der beigefügten Zeichnung näher erläutert. Auf dieser
zeigt '
Fig. 1 den Schnittaufbau einer nach dem erfindungsgemäßen Verfahren hergestellten Zener-Diode,
Fig. 2 den Schnittaufbau einer nach dem erfindungsgemäßen Verfahren hergestellten Zener-Diode des Temperaturkompensationstyps,
Fig. 3 den Schnittaufbau einer nach dem erfindungsge-
mäßen Verfahren hergestellten Diode mit geringem Vorwärtsspannungsabfall, und
Fig. 4 den Schnittaufbau eines nach dem erfindungsgemäßen Verfahren hergestellten Thyristors.
Die Erfindung .wird nun anhand der folgenden Beispiele im einzelnen beschrieben.
BEISPIEL 1
Bei diesem Beispiel wird das Verfahren nach der Erfindung auf der Herstellung einer Zener-Diode mit vergleichsweise niedriger Durchbruchsspannung angewandt.
Gemäß Fig. 1, die die Diode dieses Beispiels darstellt, ist eine n-Silizium-Einkristallschicht 12 kontinuierlich auf einem η -Silizium-Einkristallsubstrat niedrigen spezifischen Widerstands ausgebildet. Eine p-Einkristalldiffusionsschicht 13, die mit der n-Einkristallschicht 12 einen pn-übergang bildet, ist auf der n-Einkristallschicht 12 ausgebildet und auf dieser Diffusionsschicht 13 eine polykristalline p-Siliziumschicht 14, die als Diffusionsquelle für die p-Diffusionsschicht 13 wirkt. Auf der n+-Einkristallschicht und der freiliegenden Hauptfläche der polykristallinen p-Schicht 14 ist ein Paar von Elektroden 15 und 16 augebildet.
Diese Zener-Diode wird nach den folgenden Verfahrensschritten hergestellt.
Eine Siliziumeinkristallscheibe des η-Typs mit einem spezifischen Widerstand, der unter 0,01 Ohm-cm liegt, und einer Dicke von 0,25 mm wird als Substrat 11 gewählt und eine Silizium-Einkristallschicht 12 des n-Typs, die eine Dicke von 5 μπι und einen spezifischen Widerstand von 0,02 Ohm-cm hat, durch epitaxiales Ziehen auf einer Hauptfläche des Substrats 11 ausgebildet. Diese Scheibe wird in einem Gasphasenzieh-Reaktionsofen angeordnet. Der Oberflächenbereich der epitaxialen n-Schicht 12 wird durch Gasphasenätzen über eine Tiefe von ungefähr 0,2 μια gleichförmig abgetragen, um eine reine Oberfläche auszubilden. Nach dem
809884/1072
Gasphasenätzen wird die Scheibe auf einer Temperatur von 673 K (400° C) gehalten, die niedriger als die kritische Temperatur ist, die für die Abscheidung einer amorphen oder polykristallinen Siliziumschicht 14 des p-Typs aus der Gasphase notwendig ist. Nachfolgend wird Wasserstoff in den Reaktionsofen mit einer Speisegeschwindigkeit von 30 l/min eingeführt und gleichzeitig werden Trichlorsilan, SiHCl3> als Ausgangssubstanz für Silizium und Diboran, I^Hg, als Dotierungsgas in den Wasserstoff in einer Msnge von 1,0 I/blpro-
zent bzw. von 2.10"4 Molprozent eingemischt. Das Aufheizen der Scheibe wird begonnen, während die obigen Speisegeschwindigkeiten der Gase aufrechterhalten wird, und die Temperatur der Scheibe wird auf 1173 K (900° C) angehoben und diese Temperatur beibehalten. Die Reaktion wird durchgeführt, bis eine polykristalline Siliziumschicht 14 des p-Typs auf der Oberfläche der n-Einkristallschicht 12 in einer Dicke von ungefähr 10 μκι abgeschieden ist. Dann wird das Beimengen von Trichlorsilan, SiHCl-,, und Diboran, B2Hg, gestoppt und gleichzeitig damit auch das Heizen des Reaktionsofens. Auf diese Weise wird die Scheibe gekühlt, während Wasserstoff alleine strömt. Wenn die Temperatur im Reaktionsofen auf unter 373 K (100° C) abgesunken ist, wird die Scheibe herausgenommen und ein Paar von Elektroden 15 und 16 aus beispielsweise Aluminium auf den beiden Oberflächen der Scheibe ausgebildet. Die Scheibe wird zur Erzielung einer bestimmten Größe einer Pelletierungsbehandlung und danach einer Oberflächenstabilisierungsbehandlung sowie einem Zusammenbauvorgang zur Gewinnung einer Zener-Diode unterworfen.
Da eine polykristalline Siliziumschicht 14 direkt auf der Oberfläche der Scheibe ausgebildet werden kann, lassen sich bei dieser Ausführungsform die Dicke einer
809334/1072
Diffusionsschicht 13, die unter Verwendung dieser poly-, kristallinen Schicht als Diffusionsquelle ausgebildet ist, und die Konzentrationsverteilung von Fremdstoffen direkt durch Temperatur und Zeit des oben erwähnten Ziehens der polykristallinen Schicht steuern. Dementsprechend läßt sich eine Zener-Diode mit stark verminderten Abweichungen hinsichtlich der Durchbruchseigenschaften herstellen.
Bei einer Zener-Diode ist ein pn-übergang mit guter Stufencharakteristik zur Erzielung eines guten Zener-Durchbruchs notwendig. Da bei dieser Ausführungsform eine direkt auf einer Einkristallscheibe abgeschiedene polykristalline Siliziumschicht mit einem Leitungstyp, der demjenigen der Scheibe entgegengesetzt ist, als Diffusionsquelle verwendet wird, ist hier die Diffusionsgeschwindigkeit von Fremdstoffen entgegengesetzten Leitungstyps in die Scheibe viel höher als bei dem herkömmlichen Verfahren, bei welchem eine Silizium-Einkristallschicht, die im wesentlichen im Anfangsstadium des Ziehens einer polykristallinen Schicht ausgebildet ist und einen Leitungstyp entgegengesetzt zu demjenigen der Scheibe hat, als Diffusionsquelle verwendet wird. Dementsprechend ist es bei der vorliegenden Ausführungsform möglich, eine Zener-Diode zu erhalten, die einen ausreichend großen Konzentrationsgradienten von Fremdstoffen aufweist.
BEISPIEL 2
Bei diesem Beispiel wird das erfindungsgemäße Verfahren auf die Herstellung einer Zener-Diode des Temperaturkompensationstyps angewandt.
Gemäß Fig. 2, die die Diode dieses Beispiels darstellt, ist eine Silizium-Einkristallschicht 12 kontinuier-
809884/1072
lieh auf einer der Hauptflächen eines Silizium-Einkristallsubstrats 11 des n+-Typs mit niedrigem spezifischem Widerstand ausgebildet.
Eine erste Einkristalldiffusionsschicht 13 des p-Typs, die mit der n-Einkristallschicht 12 einen ersten pn-übergang bildet/ ist auf dieser Schicht 12 augebildet, während eine erste polykristalline Siliziumschicht .14 des p-Typs, die als Diffusionsquelle für die Diffusionsschicht 13 wirkt, auf dieser p-Diffusionsschicht 13 ausgebildet ist. Eine zweite Einkristalldiffusionsschieht 17 des p-Typs, die einen zweiten pn-übergang mit dem Substrat 11 bildet, ist auf der anderen Hauptfläche des n+-Substrats ausgebildet und eine zweite polykristalline Siliziumschicht 18 des p-Typs, die als Diffusionsquelle für die p-Diffusionsschicht 17 wirkt, ist auf dieser p-Diffusionsschicht 17 ausgebildet. Ein Paar von Elektroden 16 und 15 ist auf den freiliegenden Hauptflächen der ersten und zweiten polykristallinen Siliziumschicht 14 bzw. 18 des p-Typs : ausgebildet. Wenn die zwei pn-übergänge auf diese Weise in Reihe in entgegengesetzten Richtungen verbunden sind, kompensieren die Temperaturkoeffizienten der Durchbruchsspannungen beider übergänge einander, so daß sich der Temperaturkoeffizient der Durchbruchsspannung der Diode als ganzer vermindern läßt. Da der Temperaturkoeffizient der Durchbruchsspannung des in Sperrichtung zu polenden pnübergangs stark durch die Durchbruchsspannung bei Raumtemperatur geändert wird, ist es in diesem Fall zur Erzielung einer solchen Diode mit niedrigem Temperaturkoeffizienten notwendig, die Abweichung der Durchbruchsspannung bei Raumtemperatur, des in Sperrichtung vorzuspannenden pn-übergangs zu vermindern.
809884/1072
Die Diode dieses Beispiels ist nach folgenden Verfahrensschritten hergestellt.
Eine n-Silizium-Einkristallscheibe mit einem spezifischen Widerstand unter 0,01 Ohm-cm und einer Dicke von 0,25 mm wird als Substrat 11 verwendet. Eine n-Silizium-Einkristallschicht 12 mit einer Dicke von 5 μπι und einem spezifischen Widerstand von 0,02 Ohm-cm wird durch epitaxiales Ziehen auf einer der Hauptflachen des Substrats 11 ausgebildet und die Scheibe in einem Gasphasenzieh-Reaktionsofen angeordnet. Nach dem bekannten Gasphasenätzen wird ein Oberflächenteil der n-Silizium-· Einkristallschicht 12 gleichförmig über eine Dicke von ungefähr 0,2 um abgetragen, um eine saubere Halbleiteroberfläche freizulegen.
Nach.dem Gasphasenätzen wird die Scheibe bei einer Temperatur von 673 K (400° C) gehalten, die niedriger als die Reaktionstemperatur für die Abscheidung der ersten polykristallinen Siliziumschicht 14 des p-Typs aus der Gasphase ist. Nachfolgend wird in den Reaktionsofen mit einer Strömungsgeschwindigkeit von 30 l/min Wasserstoff eingeleitet, und Trichlorsilan, SiHCl3, als Ausgangssubstanz für Silizium und Diboran, E^Hg, als Dotierungsgas werden in den Wasser
stoff in Mengen von 1,0 Molprozent bzw. 2.10" Molprozent eingemischt. Während obige Gasgeschwindigkeiten aufrechterhalten werden, wird das Aufheizen der Scheibe begonnen, um die Temperatur der Siliziumscheibe auf 1173 K (900° C) anzuheben, und diese Temperatur wird beibehalten und die Reaktion fortgesetzt, bis eine erste polykristalline Siliziumschicht 14 des p-Typs mit einer Dicke von ungefähr 10 μπι auf der Oberfläche der n-Silizium-Einkristallschicht 12 abgeschieden ist.
8G9884/1072
Dann wird das Einmischen von Trichlorsilan, SiHC^, und Diboran, B2H5, in Wasserstoff gestoppt und gleichzeitig damit auch das Heizen der Scheibe. Die Scheibe kühlt so auf natürliche Weise ab, während Wasserstoff alleine weiterströmt. Wenn die Temperatur im Ofen unter 373 K (100° C) abgesunken ist, wird die Scheibe umgedreht, so daß dann das n+-Substrat 11 oben, liegt, und erneut in den Ofen gesetzt. Dann wird nach dem gleichen Verfahren wie oben gezüglich der ersten polykristallinen Siliziumschicht 14 eine zweite polykristalline Siliziumschicht 18 des p-Typs auf dem η -Einkristallsubstrat abgeschieden. Das heißt, nach dem Gasphasenätzen wird die Scheibe auf einer Temperatur unter der Reaktionstemperatur für das Abscheiden der zweiten polykristallinen Schicht 18 des p-Typs aus der Gasphase gehalten, wonach das gleiche Reaktionsgas und Trägergas wie oben zur Ausbildung der ersten polykristallinen Siliziumschicht des p-Typs mit der gleichen Strömungsgeschwindigkeit in den Ofen eingeleitet werden. Dann wird das Aufheizen der Scheibe begonnen und die Temperatur der Scheibe auf 1173 K (900° C) angehoben und diese Temperatur aufrechterhalten. Die Reaktion wird fortgesetzt, bis die Dicke der zweiten polykristallinen Siliziumschicht 18 des p-Typs auf 10 μια angewachsen ist. Die für diese Reaktion benötigte Zeit beträgt ungefähr 30 min.
Nach Beendigung der Reaktion wird die Zufuhr der von Wasserstoff verschiedenen Gasen gestoppt, gleichzeitig auch das Heizen,und die Scheibe kühlt ab. Wenn die Temperatur im Reaktionsofen unter 373 K (100° C) abgesunken ist, wird die Scheibe herausgenommen und an beiden Seiten jeweils mit einer Elektrode eines Paares von Metallelektroden 15 und 16 versehen. Dann wird die Scheibe in eine bestimmte Größe pelletiert, danach einer Oberflächenstabilisierungsbehandlung und dem Zusammenbauvorgang zur Gewinnung
809884/1072
einer Zener-Diode unterworfen.
Wenn eine Spannung so an die hergestellte Zener-Diode angelegt wird, daß die Elektrode 16 negativ und die Elektrode 15 positiv ist, läßt sich mit hoher Produktionsausbeute eine Charakteristik erzielen, die durch eine Zener-Spannung von 6,8 V (einem Zener-Strom von 2,5 itiA) und einem Temperaturkoeffizienten der Zener-Spannung von weniger als 0,005 %/K gekennzeichnet ist. Der Grund, warum man diesen Effekt erzielt, liegt darin, daß eine polykristalline Siliziumschicht mit einem Leitungstyp, der demjenigen der Scheibe entgegengesetzt ist, wie in Beispiel 1 direkt auf der Oberfläche der Scheibe ausgebildet wird und daß eine Diffusionsschicht und ein pnübergang unter Verwendung dieser polykristallinen Siliziumschicht als Diffusionsquelle ausgebildet werden.
BEISPIEL 3
Dieses Beispiel gibt eine Ausführungsform wieder, bei welcher das Verfahren gemäß der Erfindung auf die Herstellung einer Diode angewandt wird, die sich durch einen besonders niedrigen Vorwärtsspannungsabfall und eine besonders niedrige Erholzeit sowohl in Vorwärts- als auch in Sperrichtung auszeichnet.
Bereits früher wurde seitens der Anmelderin ein Halbleiter-Gleichrichter mit niedrigem Vorwärtsspannungsabfall und kurzer Erholzeit vorgeschlagen, der dadurch gekennzeichnet ist, daß er eine sehr dünne Diffusionsschicht hat, in welcher die Menge an den Leitungstyp der Diffusionsschicht bestimmenden Fremdstoffen pro Einheitsfläche der Diffusipnsschicht (entsprechend dem Wert, den man erhält, /jenn man die Fremdstoffkonzentration σ , Atome/cm3, in der Diffusionsschicht mit der Dicke t , cm, der Diffusionsschicht
80988471072
multipliziert) zwischen 1.1 O^ ^ bis 1-10^ Atome/cm2 liegt (vgl. US-Patentanmeldung Ser. No. 709 246).
Das erfindungsgemäße Verfahren erweist sich als sehr wirkungsvoll für den Fall, wo die Fremdstoffkonzentration und Dicke der Diffusionsschicht wie bei dem oben erwähnten Halbleitergleichrichter in sehr engen Grenzen gehalten werden sollten.
Gemäß Fig. 3, die die Diode dieses Beispiels darstellt, ist eine n-Silizium-Einkristallschicht 12 auf einer Hauptfläche eines n+-Silizium-Einkristallsubstrats 11 ausgebildet. Eine p-Silizium-Einkristallschicht 13, die mit der n-Silizium-Einkristallschicht 12 einen pn-übergang bildet, ist auf dieser Schicht 12 ausgebildet, und auf der Einkristallschicht 13 wiederum ist eine polykristalline Siliziumschicht 14 des p-Typs als Diffusionsquelle für die Diffusion von p-Fremdstoffen in die Einkristallschicht 13 ausgebildet. Auf der anderen Hauptfläche des polykristallinen Siliziumsubstrats 11 des η -Typs ist eine Silizium-Einkristallschicht 111 des n++-Typs mit einer höheren Fremdstoffkonzentration als das Substrat 11 ausgebildet. Ein Paar von Elektroden 15 und 16 aus einem mehrschichtigen Film aus Cr, Niund Ag, in dieser Reihenfolge, gesehen von der Halbleiterseite, ist auf den freiliegenden Hauptflächen der n++-Einkristallschicht 111 und der polykristallinen Schicht 14 des p-Typs ausgebildet.
Die Diode dieses Beispiels wird nach folgenden Verfahrensschritten hergestellt.
Eine n-Silizium-Einkristallscheibe mit einem spezifischen Widerstand unter 0f01 Ohm-cm von einer Dicke von 0,25 mm wird als das Substrat 11 verwendet und eine n-Silizium-Einkristallschicht 12 mit einer Dicke von 6 μΐη und einem spezifischen Widerstand von 5 Ohm-cm durch epi-
taxiales Ziehen auf einer Hauptfläche des Substrats ausgebildet. Dann wird die Scheibe in einen Reaktionsofen gesetzt und die Oberfläche der n-Silizium-Einkristallschicht 12 in einer Tiefe von 0,3 μπι nach dem bekannten Gasphasenätzen, beispielsweise durch Erwärmen in einem Gasgemisch aus Wasserstoff und Chlorwasserstoff, geätzt, um Oxide und Verunreinigungen von der Oberfläche zu entfernen und eine saubere Siliziumatomoberfläche freizulegen. Danach wird die Temperatur der Scheibe auf Raumtemperatur abgesenkt. Bei Raumtemperatur werden 30 l/min Wasserstoff, 1,0 Molprozent/min Trichlorsilan, S1HCI3, als Ausgangssubstanz für Silizium und 1,4.10"^ Molprozent/ min Diboran, B^Hg, als Dotierungsgas in den Reaktionsofen eingeleitet.
Unter Aufrechterhaltung obiger Gasströmungsgeschwindigkeiten wird das Wiederaufheizen der Scheibe begonnen und die Siliziumscheibe beispielsweise auf 1173 K (900° C) gebracht. Diese Temperatur wird aufrechterhalten und die Aufwachsreaktion aus der Gasphase fortgesetzt, bis eine polykristalline Siliziumschicht 14 des p-Typs mit einer Dicke von ungefähr 25 μπι auf der Oberfläche der n-Einkristallschicht 12 abgeschieden ist. Die für die Abscheidung benötigte Zeit beträgt ungefähr 60 Minuten. Nach Beendigung der Abscheidung wird die Zufuhr von S1HC13 und B2Hg gestoppt und gleichzeitig damit das Heizen des Ofens, Während Wasserstoff alleine strömt, wird die Scheibe abgekühlt. Bei der obigen Gasphasenreaktion ist Bor aus der polykristallinen Siliziumschicht 14 des p-Typs in die n-Silizium-Einkristallschicht 12 diffundiert, wobei sich eine p-Silizium-Einkristallschicht 13 mit einer Fremdstoffkonzentration von ungefähr 1.1 O^ Atome/cm3 und einer Dicke von ungefähr 0,05 μπι gebildet hat.
809884/1072
Dann wird Phosphor bei 1173 K (900° C) von der anderen Hauptfläche des n+-Substrats zur Ausbildung einer n++~Silizium-Einkristallschicht 111 mit einer Fremdstoffkonzentration von ungefähr 1.10 Atome/cm3 und einer Dicke von ungefahr 1 μια diffundiert. Diese n+^-Einkristallschicht 111 erzeugt einen guten ohmschen Kontakt zwischen dem Substrat
II und der Elektrode 15 und erzielt einen Effekt der Verminderung des VorwärtsSpannungsabfalls in diesem Teil. Ein Paar mehrschichtiger Elektroden 15 und 16 aus einer Cr-Schicht 151 bzw. 161, einer Ni-Schicht 152 bzw. 162 und einer Ag-Schicht 153 bzw. 163 wird durch Vakuumabscheidung auf den freiliegenden Hauptflächen der polykristallinen Siliziumschicht 14 des ρ-Typs und der n++-Einkristallschicht
III ausgebildet.
Nach dem Sandschleifverfahren wird die Scheibe zu Pellets mit einer Abschrägung gemacht, und die das freiliegende Ende des pn-übergangs enthaltende Endfläche der Pellets wird mit einem Silikonkautschuk 31 beschichtet.
Der VorwärtsSpannungsabfall dieser Diode beträgt 0,77 V bzw. 0,59 V bei einer Temperatur des Übergangs von 298 K (25° C)bzw. 4 23 K (150° C), wenn die Stromdichte in Vorwärtsrichtung 100 A/cm2 beträgt. Die Sperrspannung beträgt 130 V bei Zimmertemperatur, die Sperr-Erholzeit und Vorwärts-Erholzeit betragen 55 nsec bzw. 45 nsec.
Wenn die Dicke der n-Einkristallschicht 12 weniger 30 μΐη und die Fremdstoffmenge pro Einheitsfläche der p-Einkristalldiffusionsschicht 13 (ungefähr das Produkt aus der Dicke der Difussionsschicht 13 und der Fremdstoffkonzentration in der Diffusionsschicht 13) 1.1011 bis 1.1014 Atome/cm2 beträgt, erhält man mit dieser Ausführungsform eine Diode, bei welcher der Vorwärtsspannungsabfall ausreichend niedrig ist und die Erholzeiten sowohl in Vorwärts- als auch in Sperrrichtung ausreichend niedrig sind.
809884/1072
Bei dieser Ausführungsform ist die Temperatur des
Halblettersubstrats, bei welcher das Einleiten des Ausgangssubstanzgases, des Dotierungsgases und des Trägergases hierfür zum Halbleitersubstrat begonnen wird, auf Raumtemperatur eingestellt. Durch diese Maßnahme läßt sich die folgende Wirkung erzielen.
Im Trägergas sind im allgemeinen winzige Mengen an Verunreinigungen, wie O9 und H0O, enthalten- Wenn das
Halbleitersubstrat eingangs auf einer oberhalb Raumtemperatur liegenden Temperatur gehalten wird, adsorbieren, obwohl diese Temperatur keinen Niederschlag des Halbleiters aus der Gasphase bewirkt, die Verunreinigungen des Trägergases, insbesondere H2O, an der Substratoberfläche und es besteht die Gefahr, daß es zu einer Verschlechterung des Zustands der Halbleitersubstratoberfläche kommt. Wenn
jedoch, wie bei der vorliegenden Ausführungsform, das
Trägergas auf das bei Raumtemperatur gehaltene Halbleitersubstrat eingeleitet wird, reagieren die unerwünschten
Verunreinigungen, wie H2O, bereitwillig mit dem gleichzeitig eingeleiteten Ausgangssubstanzgas bzw. Dotierungsgas, ohne daß sie am Halbleitersubstrat absorbiert werden. Dementsprechend läßt sich die Gefahr einer Verschlechterung des Oberflächenzustands des Halbleitersubstrats vollständig ausschalten.
BEISPIEL 4
Dieses Beispiel zeigt eine Ausfuhrungsform, bei welcher das erfindungsgemäße Verfahren auf die Herstellung eines Thyristors angewandt wird.
809884/1072
In Fig. 4, die den Schnittaufbau des Thyristors dieses Beispiels zeigt, stellt 41 ein Vierschichten-Halbleitersubstrat aus einer N-Emitterschicht Ng, einer P-Basisschicht PB, einer N-Basisschicht NB und einer P-Einitterschicht PE dar. 42 bezeichnet eine Kathodenelektrode, welche mit der N-Emitterschicht ohmschen Kontakt hat, 43 bezeichnet eine Anodenelektrode, welche mit einer polykristallinen Halbleiterschicht 44 ohmschen Kontakt hat, und 45 eine Gate-Elektrode, welche mit der P-Basisschicht ohmschen Kontakt hat.
Dieser Thyristor wird nach den folgenden Verfahrensschritten hergestellt.
Ein N-Silizium-Einkristallsubstrat, welches nach dem Schwebezonenverfahren hergestellt ist und einen spezifischen Widerstand von ungefähr 40 Ohm-cm sowie eine Dicke von 240 μΐη aufweist, wird als Ausgangs substrat verwendet.
Dieses Siliziumsubstrat wird mit Gallium in einem Quarzrohr eingeschlossen und zur Ausbildung einer dünnen P-Schicht hoher Konzentration auf der Siliziumoberfläche bei 1423 K (1150° C) ungefähr zwei Stunden lange wärmebehandelt. Die Siliziumscheibe wird aus dem Quarzrohr herausgenommen und die dünne P-Schicht von einer der Oberflächen nach einem bekannten Verfahren, beispielsweise durch Ätzen, entfernt, wonach die Eintreibdiffusion unter Verwendung der verbleibenden P-Basisschicht als Fremdstoffquelle zur Ausbildung einer P-Basisschicht bei 1523 .K (12500C) für ungefähr 20 Stunden durchgeführt wird. Ein während der Eintreibdiffusion ausgebildeter Siliziumoxid-Film wird durch Photoätzen teilweise entfernt und unter Verwendung von POCl2 als Quelle Phosphor auf dem Bereich, wo das Siliziumoxid entfernt ist, bei 1373 K (1100° C) 30 Minuten lang niedergeschlagen. Während dieser Abscheidung gebildetes Phosphorglas wird durch Flußsäure entfernt und eine Eintreibdiffusion zur Ausbildung
809884/1072
einer N-Emitterschicht N1-, mit einer Konzentration von 6,8.10T6 Atomen/cm2 bei 1473 K (1200° C) für sieben Stunden durchgeführt. Dann wird die Siliziumscheibe erneut mit Gallium in einem Quarzrohr eingeschlossen und die Oberflächenkonzentration der P-Basisschicht PB auf diese Weise erhöht. Die dünne P-Schicht, die durch diesen Verfahrensschritt auf der Oberfläche ausgebildet wird, die der Oberfläche gegenüberliegt, wo die N-Emitterschicht vorhanden ist, wird durch Ätzen entfernt. Auf diese Weise wird ein NPN-Aufbau ausgebildet, bei welchem die Dicke der N-Emitterschicht 15 um, die Dicke der P-Basisschicht 30 μΐη und die Dicke der N-Basisschicht 170 μπι beträgt.
Schließlich wird eine Bor enthaltende polykristalline Siliziumschicht 44 direkt aus der Gasphase auf der Oberfläche aufgewachsen, die der Oberfläche gegenüberliegt, wo die N-Emitterschicht vorhanden ist. SiHCl3 und E^Hg werden als Siliziumquelle bzw. als Borquelle und Wasserstoff als Trägergas verwendet. Diese Gase werden dem auf Raumtemperatur gehaltenen Halbleitersubstrat zugeführt, wobei die Temperatur auf eine Ziehtemperatur von 1223 K (950° C) erhöht und ein Polykristall mit einer Dicke von 26 μπι über eine Zeitdauer von 25 Minuten bei dieser Temperatur gezogen wird. Während dieses Verfahrensschritt diffundiert Bor in den Einkristall und es bildet sich eine P-Emitterschicht PE.
Die Fremdstoffmenge pro Einheitsfläche der P-Emitterschicht beträgt 2,6.10^ Atome/cm2.
Danach werden eine Kathodenelektrode 42, eine Anodenelektrode 43 und eine Gate-Elektrode 45 ausgebildet. Damit ist die Herstellung eines Thyristors mit Ausnahme eines Passivierungsverfahrens der Ränder der pn-Übergänge, welches in der Beschreibung dieses Beispiels weggelassen ist, beendet.
809884/1072
Die elektrischen Eigenschaften dieses.so hergestellten Thyristors sind eine Vorwärtssperrspannung von 1050 V, eine RückwärtsSperrspannung von 1100 V und ein Vorwärtsspannungsabfall von 0,92 V bei 100 A/cm2 im Leitungszustands
Bei jeder der vorstehenden Ausführungsformen wird eine p-Diffusionsschicht auf einem η-Bereich ausgebildet. Es erübrigt sich festzustellen, daß die Erfindung auch auf die Herstellung einer Halbleitervorrichtung mit umgekehrten Leitungstypen angewandt werden kann. Ferner beschränken sich die Arten des Ausgangshalbleitergases, des Dotierungsgases und des Trägergases, die im Rahmen der Erfindung verwandt werden können, nicht auch die in den vorstehenden Beispielen verwendeten. Beispielsweise kann S1H4, S1H2CI2 und SiCl4 als Ausgangshalbleitergas verwendet werden. Die Verwendung von S1HCI3 als Ausgangsgas wird jedoch bevorzugt, weil sich damit stabil ein polykristalliner Siliziumfilm erhalten läßt.
Beim erfindungsgemäßen Verfahren wird die Temperatur gleichförmig und reproduzierbar von einem Wert, der keine Abscheidung eines Halbleiters auf einem Halbleitersubstrat aus der Gasphase bewirkt, auf einen Wert, der den Niederschlag eines polykristallinen Halbleiters bewirkt, angehoben. Es wird bevorzugt, daß die Temperaturerhöhung mit einer Geschwindigkeit von 10 bis 100 K/min durchgeführt wird. Wenn die Temperaturerhöhungsgeschwindigkeit unter diesem Bereich liegt, läßt sich die notwendige Diffusionsmenge schwer steuern, und die Ergebnisse werden
nicht besser. .
Das Herstellungsverfahren für die Dioden und den rückwärts sperrenden Thyristor ist in den vorstehenden Beispielen dargelegt. Für den Fachmann liegt natürlich auf der
809884/1072
Hand, daß das erfindungsgemäße Verfahren auch auf die Herstellung von Dioden und Thyristoren mit anderen Aufbauten als den oben erwähnten und auch auf andere Halbleiterelemente, wie etwa Transistoren, angewandt werden kann. Aus der vorstehenden Darstellung wird klar, daß nach dem erfindungsgemäßen Verfahren es möglich ist, die Dicke der Diffusionsschicht und die Menge an Fremdstoffen in dem pn-übergang, die nach dem Dotiert-Polyhalbleiterverfahren ausgebildet werden, genau zu steuern und die stufenartige Verteilung der Fremdstoffkonzentration merkbar zu verbessern.
Ki/fg
809884/1072

Claims (8)

PATENTANWÄLTE SCHIFF v.FÜNER STREHL SCHÜBEL-HOPF EBBINGHAUS FINCK MARIAHILFPLATZ 2 & 3, MÖNCHEN 9O 2832153 POSTADRESSE: POSTFACH 95 O1 6O, D-8000 MÖNCHEN 95 *" W Hitachi, Ltd. DEA-5670 21. Juli 1978 Verfahren zur Herstellung von Halbleitervorrichtungen PATENTANSPRÜCHE
1. Verfahren zur. Herstellung von Halbleitervorrichtungen, gekennzeichnet durch das Halten eines Halbleitersubstrats mit einem Halbleiter-Einkristallbereich eines bestiirsnten Leitungstyps, welcher auf einer Hauptfläche desselben freiliegt, auf einer Temperatur unterhalb der Temperatur, die in wesentlichem Maße eine Abscheidung eines Halbleiters aus der Gasphase bewirkt; das Zuführen eines Gasgemischs aus einem Gas
B09884/1G72 ORIGINAL INSPECTED^
einer Ausgangssubstanz für einen Halbleiter, einem Fremdstoffgas, welches einen Halbleiter des anderen Leitungstyps schaffen kann, und einem Trägergas hierfür an die Hauptfläche des Halbleitersubstrats; das Aufheizen des Halbleitersubstrats, um aus der Gasphase eine amorphe oder polykristalline Halbleiterschicht des anderen Leitungstyps auf der Hauptfläche des Halbleitersubstrats aufzuwachsen und um Fremdstoffe, die den Leitungstyp der amorphen oder polykristallinen Halbleiterschicht des anderen Leitungstyps bestimmen, in den Halbleiter-Einkristallbereich des einen Leitungstyps einzudiffundieren, wodurch ein Halbleiter-Einkristallbereich des anderen Leitungstyps in dem Halbleiter-Einkristallbereich des einen Leitungstyps eindiffundiert wird; und das Ausbilden von Elektroden an vorgegebenen Lagen, die die amorphe oder polykristalline Halbleiterschicht enthalten.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet , daß der Verfahrensschritt des Haltens des Halbleitersubstrats in einem Temperaturbereich zwischen Raumtemperatur und 773 K (500° C) durchgeführt wird.
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß der Verfahrensschritt des Haltens des Halbleitersubstrats bei Raumtemperatur durchgeführt wird.
809884/1072
4. Verfahren nach Anspruch 1, dadurch gekennzeichnet , daß das Aufwachsen der amorphen oder polykristallinen Halbleiterschicht des anderen Leitungstyps gleichzeitig mit der Ausbildung des Halbleiter-Einkristallbereichs des anderen Leitungstyps im Halbleiter-Einkristallbereich des einen Leitungstyps durchgeführt wird.
5. Verfahren nach Anspruch 1, dadurch g e -
kennzeichnet, daß das im Verfahrensschritt des Haltens des Halbleitersubstrats verwendete Halbleitersubstrat ein Paar von Hauptflächen aufweist und einen ersten Einkristallbereich des einen Leitungstyps, der an der einen Hauptfläche freiliegt, und einen zweiten Einkristallbereich des anderen Leitungstyps, der an der anderen Hauptfläche freiliegt und eine Fremdstoffkonzentration hat, die höher als die des ersten Einkristallbereichs ist, umfaßt, und daß die beiden Elektroden an der freiliegenden Oberfläche der amorphen oder polykristallinen Halbleiterschicht bzw. der anderen Hauptfläche des Halbleitersubstrats ausgebildet werden.
6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Halbleitersubstrat aus Silizium aufgebaut ist und daß als Gas der Ausgangssubstanz für den Halbleiter ein Gas einer siliziumhaltigen Verbindung verwendet wird.
'809884/107.2
7. Verfahren nach Anspruch 6, dadurch gekennzeichnet , daß das Halbleitersubstrat in dem Verfahrensschritt des Haltens bei Raumtemperatur gehalten wird, daß ein Gas aus SiHCIo und ein Gas aus H2 als Gas der Ausgangssubstanz für den Halbleiter bzw. als Trägergas verwendet werden, daß beim Aufheizen des Halbleitersubstrats dieses auf 1173 bis 1223 K (900 bis 950° C) zur gleichzeitigen Bewirkung des Aufwachsens einer polykristallinen Siliziumschicht des anderen Leitungstyps und der Bildung eines Silizium-Einkristallbereichs des anderen Leitungstyps erwärmt wird, derart, daß die Menge an den Leitungstyp bestimmenden Fremdstoffen, die in dem Silizium-Einkristallbereich des anderen Leitungstyps pro Einheitsfläche vorhanden ist, 1.1O11 bis 1.1O14 Atome/cm2 beträgt.
8. Verfahren nach Anspruch 1, dadurch gekennzeichnet , daß das im Verfahrensschritt des Haltens verwendete Halbleitersubstrat ein 'Paar von Hauptflächen aufweist und einen ersten Einkristallbereich des einen Leitungstyps, der an einer Hauptfläche freiliegt, und einen zweiten Einkristallbereich des anderen Leitungstyps, angrenzend an den ersten Einkristallbereich und damit einen pn-übergang bildend, und einen dritten Einkristallbereich des einen Leitungstyps, angrenzend an die zweite Einkristallschicht, an der anderen
809884/1072
Oberfläche freiliegend und mit dem zweiten Einkristallbereich einen pn-übergang bildend, umfaßt, und daß die beiden Hauptelektroden an der freiliegenden Hauptfläche der amorphen oder polykristallinen Halbleiterschicht bzw. der freiliegenden Hauptfläche des ersten Einkristallbereichs ausgebildet werden.
809884/1072
DE2832153A 1977-07-22 1978-07-21 Verfahren zur Herstellung von Halbleitervorrichtungen Expired DE2832153C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8743777A JPS5423386A (en) 1977-07-22 1977-07-22 Manufacture of semiconductor device

Publications (2)

Publication Number Publication Date
DE2832153A1 true DE2832153A1 (de) 1979-01-25
DE2832153C2 DE2832153C2 (de) 1985-02-21

Family

ID=13914831

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2832153A Expired DE2832153C2 (de) 1977-07-22 1978-07-21 Verfahren zur Herstellung von Halbleitervorrichtungen

Country Status (4)

Country Link
US (1) US4164436A (de)
JP (1) JPS5423386A (de)
DE (1) DE2832153C2 (de)
NL (1) NL182264C (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2470443A1 (fr) * 1979-11-27 1981-05-29 Thomson Csf Procede de fabrication de diodes zener et diodes obtenues
FR2500855A1 (fr) * 1981-02-27 1982-09-03 Thomson Csf Procede de dopage et de metallisation d'une zone superficielle d'un composant semi-conducteur et diode zener obtenue
FR2536210A1 (fr) * 1982-11-12 1984-05-18 Rca Corp Couches en silicium polycristallin pour dispositifs a semi-conducteurs et procede de formation d'un dispositif a semi-conducteurs
EP0676813A2 (de) * 1994-04-04 1995-10-11 Mitsubishi Denki Kabushiki Kaisha Gate-Turn-off-Thyristor und Verfahren zu seiner Herstellung

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4229502A (en) * 1979-08-10 1980-10-21 Rca Corporation Low-resistivity polycrystalline silicon film
JPS6046545B2 (ja) * 1980-05-16 1985-10-16 日本電気株式会社 相補型mos記憶回路装置
US4835111A (en) * 1987-02-05 1989-05-30 Teledyne Industries, Inc. Method of fabricating self-aligned zener diode
KR20010014774A (ko) * 1999-04-22 2001-02-26 인터실 코포레이션 빠른 턴-오프 파워 반도체 디바이스
US7585740B2 (en) * 2006-03-14 2009-09-08 International Business Machines Corporation Fully silicided extrinsic base transistor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3460007A (en) * 1967-07-03 1969-08-05 Rca Corp Semiconductor junction device
US3928095A (en) * 1972-11-08 1975-12-23 Suwa Seikosha Kk Semiconductor device and process for manufacturing same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3519901A (en) * 1968-01-29 1970-07-07 Texas Instruments Inc Bi-layer insulation structure including polycrystalline semiconductor material for integrated circuit isolation
US3764413A (en) * 1970-11-25 1973-10-09 Nippon Electric Co Method of producing insulated gate field effect transistors
DE2211709C3 (de) * 1971-03-12 1979-07-05 Hitachi, Ltd., Tokio Verfahren zum Dotieren von Halbleitermaterial
CA969290A (en) * 1971-10-20 1975-06-10 Alfred C. Ipri Fabrication of semiconductor devices incorporating polycrystalline silicon
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
US3912557A (en) * 1974-05-02 1975-10-14 Trw Inc Method for fabricating planar semiconductor devices
US4063973A (en) * 1975-11-10 1977-12-20 Tokyo Shibaura Electric Co., Ltd. Method of making a semiconductor device
US4004954A (en) * 1976-02-25 1977-01-25 Rca Corporation Method of selective growth of microcrystalline silicon

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3460007A (en) * 1967-07-03 1969-08-05 Rca Corp Semiconductor junction device
US3928095A (en) * 1972-11-08 1975-12-23 Suwa Seikosha Kk Semiconductor device and process for manufacturing same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2470443A1 (fr) * 1979-11-27 1981-05-29 Thomson Csf Procede de fabrication de diodes zener et diodes obtenues
FR2500855A1 (fr) * 1981-02-27 1982-09-03 Thomson Csf Procede de dopage et de metallisation d'une zone superficielle d'un composant semi-conducteur et diode zener obtenue
FR2536210A1 (fr) * 1982-11-12 1984-05-18 Rca Corp Couches en silicium polycristallin pour dispositifs a semi-conducteurs et procede de formation d'un dispositif a semi-conducteurs
EP0676813A2 (de) * 1994-04-04 1995-10-11 Mitsubishi Denki Kabushiki Kaisha Gate-Turn-off-Thyristor und Verfahren zu seiner Herstellung
EP0676813A3 (de) * 1994-04-04 1999-06-02 Mitsubishi Denki Kabushiki Kaisha Gate-Turn-off-Thyristor und Verfahren zu seiner Herstellung

Also Published As

Publication number Publication date
JPS5423386A (en) 1979-02-21
JPS5751971B2 (de) 1982-11-05
NL182264C (nl) 1988-02-01
NL182264B (nl) 1987-09-01
NL7807818A (nl) 1979-01-24
DE2832153C2 (de) 1985-02-21
US4164436A (en) 1979-08-14

Similar Documents

Publication Publication Date Title
DE961913C (de) Verfahren zur Herstellung von elektrisch unsymmetrisch leitenden Systemen mit p-n-UEbergaengen
EP0018520B1 (de) Verfahren zur vollständigen Ausheilung von Gitterdefekten in durch Ionenimplantation von Phosphor erzeugten N-leitenden Zonen einer Siliciumhalbleitervorrichtung und zugehörige Siliciumhalbleitervorrichtung
DE2618733A1 (de) Halbleiterbauelement mit heterouebergang
DE2605830C3 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE1292256B (de) Drift-Transistor und Diffusionsverfahren zu seiner Herstellung
DE2702860A1 (de) Solarzelle und verfahren zu ihrer herstellung
DE1295093B (de) Halbleiterbauelement mit mindestens zwei Zonen entgegengesetzten Leitungstyps
DE1016841B (de) Verfahren zur Herstellung eines Halbleiters mit Inversionsschicht
DE3112921A1 (de) Zener-diode
DE2812658B2 (de) Verfahren zum selektiven Diffundieren von Aluminium in ein Einkristall-Siliciumhalbleitersubstrat
DE4009837A1 (de) Verfahren zur herstellung einer halbleitereinrichtung
DE2019655C2 (de) Verfahren zur Eindiffundierung eines den Leitungstyp verändernden Aktivators in einen Oberflächenbereich eines Halbleiterkörpers
DE3037316A1 (de) Verfahren zur herstellung von leistungs-schaltvorrichtungen
DE2718449C2 (de)
DE1564191B2 (de) Verfahren zum herstellen einer integrierten halbleiterschaltung mit verschiedenen, gegeneinander und gegen ein gemeinsames siliziumsubstrat elektrisch isolierten schaltungselementen
DE3637006A1 (de) Siliziumeinkristallsubstrat mit hoher sauerstoffkonzentration sowie verfahren und vorrichtung zu seiner herstellung
DE2617855A1 (de) Halbleiterbauelement mit schottky- sperrschicht
DE3046358A1 (de) Feldeffekt-transistor
DE1950069B2 (de) Verfahren zum Herstellung einer Halbleiteranordnung
DE2832153C2 (de) Verfahren zur Herstellung von Halbleitervorrichtungen
DE2448478A1 (de) Verfahren zum herstellen von pn-halbleiteruebergaengen
DE2031235C3 (de) Verfahren zum Herstellen eines Halbleiterbauelementes
DE1814747C2 (de) Verfahren zum Herstellen von Feldefekttransistoren
DE19818518A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE2439535A1 (de) Verfahren zum eindiffundieren aktiver stoerelemente in halbleitermaterialien

Legal Events

Date Code Title Description
OAP Request for examination filed
OD Request for examination
8128 New person/name/address of the agent

Representative=s name: STREHL, P., DIPL.-ING. DIPL.-WIRTSCH.-ING. SCHUEBE

D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee