DE102022100442A1 - Transistor-source-/drain-bereiche und verfahren zu deren herstellung - Google Patents

Transistor-source-/drain-bereiche und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102022100442A1
DE102022100442A1 DE102022100442.2A DE102022100442A DE102022100442A1 DE 102022100442 A1 DE102022100442 A1 DE 102022100442A1 DE 102022100442 A DE102022100442 A DE 102022100442A DE 102022100442 A1 DE102022100442 A1 DE 102022100442A1
Authority
DE
Germany
Prior art keywords
source
layer
nanostructure
drain
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100442.2A
Other languages
English (en)
Inventor
Wei-Min Liu
Li-Li Su
Yee-Chia Yeo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022100442A1 publication Critical patent/DE102022100442A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Bei einer Ausführungsform weist eine Vorrichtung Folgendes auf: eine erste Nanostruktur; einen Source-/Drain-Bereich, der an einen ersten Kanalbereich der ersten Nanostruktur angrenzt, wobei der Source-/Drain-Bereich Folgendes aufweist: eine Hauptschicht; und eine erste Auskleidungsschicht zwischen der Hauptschicht und der ersten Nanostruktur, wobei eine Kohlenstoffkonzentration der ersten Auskleidungsschicht größer als eine Kohlenstoffkonzentration der Hauptschicht ist; ein Zwischenschicht-Dielektrikum auf dem Source-/Drain-Bereich; und einen Kontakt, der sich durch das Zwischenschicht-Dielektrikum erstreckt, wobei der Kontakt mit der Hauptschicht verbunden ist und der Kontakt von der ersten Auskleidungsschicht beabstandet ist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/188,134 , eingereicht am 13. Mai 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Materialschichten, leitfähige Materialschichten und Halbleitermaterialschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturgröße ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturgröße reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Strukturen nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Strukturen zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 zeigt ein Beispiel eines Nanostruktur-Feldeffekttransistors (Nano-FET) (nano-FET: nanostructure field-effect transistor) in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen.
    • Die 2-25C sind Ansichten von Zwischenstufen bei der Herstellung von Nano-FETs gemäß einigen Ausführungsformen.
    • 26 ist eine Ansicht von Nano-FETs gemäß einigen anderen Ausführungsformen.
    • Die 27-31 sind Ansichten von Zwischenstufen bei der Herstellung von Nano-FETs gemäß einigen anderen Ausführungsformen.
    • Die 32A-32C sind Ansichten von Nano-FETs gemäß einigen anderen Ausführungsformen.
    • 33 ist eine Ansicht von Nano-FETs gemäß einigen anderen Ausführungsformen.
    • Die 34A-34C sind Ansichten von Nano-FETs gemäß einigen anderen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die nachstehende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden bestimmte Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann das Ausbilden einer ersten Struktur über oder auf einer zweiten Struktur in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen die erste und die zweite Struktur in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Strukturen zwischen der ersten und der zweiten Struktur ausgebildet werden können, sodass die erste und die zweite Struktur nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Offenbarung Bezugszeichen in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Gemäß verschiedenen Ausführungsformen werden Source-/Drain-Bereiche für n-Vorrichtungen epitaktisch mit Auskleidungsschichten aufgewachsen. Die Auskleidungsschichten weisen ein Halbleitermaterial auf, das ein Sperrelement enthält, das dabei hilft, das Ausdiffundieren von Dotanden aus den Source-/Drain-Bereichen in angrenzende Kanalbereiche zu verringern. Durch Verringern der Diffusion von Dotanden in die Kanalbereiche, kann die Kanalmobilität vergrößert werden. Folglich kann die Leistung der Vorrichtung gesteigert werden.
  • Ausführungsformen werden in einem bestimmten Kontext beschrieben: einem Die mit Nano-FETs. Verschiedene Ausführungsformen können jedoch auf Dies mit anderen Arten von Transistoren (z. B. Finnen-Feldeffekttransistoren (FinFETs) (finFET: fin field-effect transistor), planare Transistoren oder dergleichen) anstelle von oder in Kombination mit den Nano-FETs angewendet werden.
  • 1 zeigt ein Beispiel von Nano-FETs (z. B. Nanodraht-FETs, Nanolagen-FETs oder dergleichen) gemäß einigen Ausführungsformen. 1 ist eine dreidimensionale Ansicht, bei welcher der Klarheit der Darstellung halber einige Strukturelemente der Nano-FETs weggelassen sind. Die Nano-FETs können Nanolagen-Feldeffekttransistoren (NSFETs) (NSFET: nanosheet field-effect transistor), Nanodraht-Feldeffekttransistoren (NWFETs) (NWFET: nanowire field-effect transistor), Gate-all-around-Feldeffekttransistoren (GAAFETs) (GAAFET: gate-all-around field-effect transistor) oder dergleichen sein.
  • Die Nano-FETs weisen Nanostrukturen 66 (z. B. Nanolagen, Nanodrähte oder dergleichen) über Finnen 62 auf einem Substrat 50 (z. B. ein Halbleitersubstrat) auf, wobei die Nanostrukturen 66 als Kanalbereiche für die Nano-FETs fungieren. Die Nanostrukturen 66 können p-Nanostrukturen, n-Nanostrukturen oder eine Kombination davon aufweisen. Isolationsbereiche 70, wie etwa flache Grabenisolationsbereiche (STI-Bereiche) (STI: shallow trench isolation) sind zwischen benachbarten Finnen 62 angeordnet, die über und aus benachbarten Isolationsbereichen 70 herausragen können. Obwohl die Isolationsbereiche 70 als getrennt von dem Substrat 50 beschrieben/dargestellt sind, kann sich der Begriff „Substrat“, so wie er in dieser Offenbarung verwendet wird, auf das Halbleitersubstrat allein oder auf eine Kombination des Halbleitersubstrats und der Isolationsbereiche beziehen. Obwohl ein unterer Teil der Finnen 62 als einzelne zusammenhängende Materialien des Substrats 50 dargestellt sind, können der untere Teil der Finnen 62 und/oder das Substrats 50 ein einzelnes Material oder eine Vielzahl von Materialien aufweisen. In diesem Zusammenhang bezeichnen die Finnen 62 die Teile, die sich über benachbarte Isolationsbereiche 70 und aus dem Zwischenraum zwischen benachbarten Isolationsbereichen 70 erstrecken.
  • Gate-Dielektrika 122 sind über Oberseiten der Finnen 62 und die Oberseiten, Seitenwände und Unterseiten der Nanostrukturen 66 umschließend angeordnet. Gate-Elektroden 124 sind über den Gate-Dielektrika 122 und die Gate-Dielektrika 122 umschließend angeordnet. Epitaktische Source-/Drain-Bereiche 98 sind auf den Finnen 62 auf gegenüberliegenden Seiten der Gate-Dielektrika 122 und der Gate-Elektroden 124 angeordnet. Ein Zwischenschicht-Dielektrikum (ILD) (ILD: inter-layer dielectric) 104 ist über den epitaktischen Source-/Drain-Bereichen 98 ausgebildet. Source-/Drain-Kontakte (nachstehend beschrieben) zu den epitaktischen Source-/Drain-Bereichen 98 sind durch die ILD 104 hindurch ausgebildet. Die epitaktischen Source-/Drain-Bereiche 98 können verschiedenen Nanostrukturen 66 und Finnen 62 gemeinsam sein. Benachbarte epitaktische Source-/Drain-Bereiche 98 können zum Beispiel elektrisch verbunden sein, etwa durch Vereinen der epitaktischen Source-/Drain-Bereiche 98 durch epitaktisches Aufwachsen oder durch Koppeln der epitaktischen Source-/Drain-Bereiche 98 mit ein und demselben Source-/Drain-Kontakt.
  • 1 zeigt weiterhin Referenz-Querschnitte, die in späteren Figuren verwendet werden. Querschnitt A-A' verläuft entlang einer Längsachse einer Finne 62 und in einer Richtung zum Beispiel eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 eines Nano-FET. Querschnitt B-B' verläuft entlang einer Längsachse einer Gate-Elektrode 124 und in einer Richtung, die zum Beispiel senkrecht zu einer Richtung eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 eines Nano-FET ist. Querschnitt C-C' verläuft parallel zu Querschnitt B-B' und erstreckt sich durch epitaktische Source-/Drain-Bereiche 98 der Nano-FETs. Nachfolgende Figuren beziehen sich der Klarheit halber auf diese Referenz-Querschnitte.
  • Einige Ausführungsformen, die in dieser Offenbarung erörtert werden, werden im Kontext von Nano-FETs erörtert, die unter Verwendung eines Gate-Last-Prozesses hergestellt werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Einige Ausführungsformen ziehen auch Aspekte in Betracht, die in planaren Vorrichtungen, wie etwa planaren FETs oder in Finnen-Feldeffekttransistoren (FinFETs) (finFET: fin field-effect transistor) verwendet werden. FinFETs können zum Beispiel Finnen auf einem Substrat aufweisen, wobei die Finnen als Kanalbereiche für die FinFETs fungieren. In ähnlicher Weise können planare FETs ein Substrat aufweisen, wobei Teile des Substrats als Kanalbereiche für die planaren FETs fungieren.
  • Die 2-25C sind Ansichten von Zwischenstufen bei der Herstellung von Nano-FETs gemäß einigen Ausführungsformen. Die 2-6 sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen. Die 7A, 8A, 9A, 10A, 11A, 14A, 15, 16, 17, 20A, 21A, 22A, 23A, 24A und 25A zeigen einen Referenz-Querschnitt A-A', der in 1 gezeigt ist. Die 7B, 8B, 9B, 10B, 11B, 14B, 20B, 21B, 22B, 23B, 24B und 25B zeigen einen Referenz-Querschnitt B-B', der in 1 gezeigt ist. Die 7C, 8C, 9C, 10C, 11C, 14C, 20C, 21C, 22C, 23C, 24C und 25C zeigen einen Referenz-Querschnitt C-C', der in 1 gezeigt ist.
  • In 2 wird ein Substrat 50 zum Herstellen von Nano-FETs bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) (SOI: semiconductor-on-insulator) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie etwa ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht ausgebildet ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht) (BOX: buried oxide), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat ausgebildet, normalerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa Silizium-Germanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; Kombinationen davon oder dergleichen.
  • Das Substrat 50 hat einen n-Bereich 50N und einen p-Bereich 50P. Der n-Bereich 50N kann zum Herstellen von n-Vorrichtungen, wie etwa NMOS-Transistoren, z. B. n-Nano-FETs, sein, und der p-Bereich 50P kann zum Herstellen von p-Vorrichtungen, wie etwa PMOS-Transistoren, z. B. p-Nano-FETs, sein. Der n-Bereich 50N kann physisch von dem p-Bereich 50P getrennt sein (nicht getrennt dargestellt), und jede Anzahl von Vorrichtungsstrukturelementen (z. B. andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen, usw.) kann zwischen dem n-Bereich 50N und dem p-Bereich 50P angeordnet sein. Obwohl ein n-Bereich 50N und ein p-Bereich 50P dargestellt sind, kann jede Anzahl von n-Bereichen 50N und p-Bereichen 50P bereitgestellt werden.
  • Das Substrat 50 kann mit einem p- oder einem n-Dotierungsstoff leicht dotiert sein. Eine ATP-Implantation (ATP: anti-punch-through - Antidurchschlag) kann auf einem oberen Teil des Substrats 50 durchgeführt werden, um einen APT-Bereich herzustellen. Während der APT-Implantation können Dotierungsstoffe in das Substrat 50 implantiert werden. Die Dotierungsstoffe können eine Leitfähigkeitsart haben, die entgegengesetzt zu der Leitfähigkeitsart von Source-/Drain-Bereichen ist, die anschließend jeweils in dem n-Bereich 50N und dem p-Bereich 50P ausgebildet werden. Der APT-Bereich kann sich unter den Source-/Drain-Bereichen in den Nano-FETs erstrecken. Der APT-Bereich kann verwendet werden, um die Leckverluste aus den Source-/Drain-Bereichen in das Substrat 50 zu verringern. Bei einigen Ausführungsformen kann die Dotierungskonzentration in dem APT-Bereich in dem Bereich von 1018 cm-3 bis 1019 cm-3 sein.
  • Ein Mehrschichtstapel 52 wird über dem Substrat 50 ausgebildet. Der Mehrschichtstapel 52 weist sich abwechselnde erste Halbleiterschichten 54 und zweite Halbleiterschichten 56 auf. Die ersten Halbleiterschichten 54 werden aus einem ersten Halbleitermaterial ausgebildet, und die zweiten Halbleiterschichten 56 werden aus einem zweiten Halbleitermaterial ausgebildet. Die Halbleitermaterialien können jeweils aus den Kandidaten-Halbleitermaterialien des Substrats 50 ausgewählt werden. Bei der dargestellten Ausführungsform weist der Mehrschichtstapel 52 jeweils drei Schichten der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 auf. Es versteht sich, dass der Mehrschichtstapel 52 jede Anzahl der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 aufweisen kann.
  • Bei der gezeigten Ausführungsform und, wie nachfolgend detaillierter beschrieben werden wird, werden die ersten Halbleiterschichten 54 entfernt und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für die Nano-FETs sowohl in dem n-Bereich 50N als auch in dem p-Bereich 50P herzustellen. Die ersten Halbleiterschichten 54 sind Opferschichten (oder Dummy-Schichten), die bei der nachfolgenden Bearbeitung entfernt werden, um die Oberseiten und die Unterseiten der zweiten Halbleiterschichten 56 freizulegen. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 ist ein Material, das gegenüber der Ätzung der zweiten Halbleiterschichten 56 eine hohe Ätzselektivität hat, wie etwa Silizium-Germanium. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 ist ein Material, das sowohl für n- als auch für p-Vorrichtungen geeignet ist, wie etwa Silizium.
  • Bei einer anderen Ausführungsform (nicht separat dargestellt) werden die ersten Halbleiterschichten 54 strukturiert, um Kanalbereiche für Nano-FETs in einem Bereich (z. B. dem p-Bereich 50P) herzustellen, und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für Nano-FETs in einem anderen Bereich (z. B. dem n-Bereich 50N) herzustellen. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 kann ein Material sein, das für p-Vorrichtungen geeignet ist, wie etwa Silizium-Germanium (z. B. SixGe1-x, wobei x in dem Bereich von 0 bis 1 sein kann), reines Germanium, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 kann ein Material sein, das für n-Vorrichtungen geeignet ist, wie etwa Silizium, Siliziumcarbid, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen. Das erste Halbleitermaterial und das zweite Halbleitermaterial können gegenüber der Ätzung des jeweils anderen eine hohe Ätzselektivität aufweisen, so dass die ersten Halbleiterschichten 54 entfernt werden können, ohne die zweite Halbleiterschichten 56 in dem n-Bereich 50N zu entfernen, und die zweiten Halbleiterschichten 56 können entfernt werden, ohne die ersten Halbleiterschichten 54 in dem p-Bereich 50P zu entfernen.
  • Jede der Schichten des Mehrschichtstapels 52 kann durch einen Prozess wie etwa Dampfphasenepitaxie (VPE) (VPE: vapor phase epitaxy) oder Molekularstrahlepitaxie (MBE) (MBE: molecular beam epitaxy) aufgewachsen werden, durch einen Prozess wie etwa chemische Dampfabscheidung (CVD) (CVD: chemical vapor deposition) oder Atomlagenabscheidung (ALD) (ALD: atomic layer deposition) abgeschieden werden oder dergleichen. Jede der Schichten kann eine geringe Dicke, wie etwa eine Dicke in einem Bereich von 5 nm bis 30 nm, haben. Bei einigen Ausführungsformen werden einige Schichten des Mehrschichtstapels 52 (z. B. die zweiten Halbleiterschichten 56) so ausgebildet, dass sie dünner als andere Schichten des Mehrschichtstapels 52 (z. B. die ersten Halbleiterschichten 54) sind.
  • In 3 werden Gräben in dem Substrat 50 und dem Mehrschichtstapel 52 strukturiert, um Finnen 62, erste Nanostrukturen 64 und zweite Nanostrukturen 66 herzustellen. Die Finnen 62 sind Halbleiterstreifen, die in dem Substrat 50 strukturiert werden. Die ersten Nanostrukturen 64 und die zweiten Nanostrukturen 66 weisen die verbliebenen Teile der ersten Halbleiterschichten 54 beziehungsweise der zweiten Halbleiterschichten 56 auf. Die Gräben können mit jedem geeigneten Ätzprozess, wie etwa mit reaktiver Ionenätzung (RIE) (RIE: reactive ion etch), Neutralstrahlätzung (NBE) (NBE: neutral beam etch), dergleichen oder einer Kombination davon, ausgebildet werden. Der Ätzprozess kann anisotrop sein.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen 62 und die Nanostrukturen 64, 66 mit einem oder mehreren fotolithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die sonst mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über einem Substrat eine Opferschicht ausgebildet, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter ausgebildet. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann als Masken zum Strukturieren der Finnen 62 und der Nanostrukturen 64, 66 verwendet werden. Bei einigen Ausführungsformen kann die Maske (oder eine andere Schicht) auf den Nanostrukturen 64, 66 verbleiben.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können jeweils Breiten in einem Bereich von 8 nm bis 40 nm haben. Bei der gezeigten Ausführungsform haben die Finnen 62 und die Nanostrukturen 64, 66 im Wesentlichen gleiche Breiten in dem n-Bereich 50N und dem p-Bereich 50P. Bei einer anderen Ausführungsform sind die Finnen 62 und die Nanostrukturen 64, 66 in einem Bereich (z. B. dem n-Bereich 50N) breiter oder schmaler als die Finnen 62 und die Nanostrukturen 64, 66 in einem anderen Bereich (z. B. dem p-Bereich 50P).
  • In 4 werden STI-Bereiche 70 über dem Substrat 50 und zwischen benachbarten Finnen 62 ausgebildet. Die STI-Bereiche 70 sind zumindest um einen Teil der Finnen 62 angeordnet, sodass zumindest ein Teil der Nanostrukturen 64, 66 zwischen benachbarten STI-Bereichen 70 herausragt. Bei der gezeigten Ausführungsform sind die Oberseiten der STI-Bereiche 70 koplanar (innerhalb der Prozessabweichungen) mit den Oberseiten der Finnen 62. Bei einigen Ausführungsformen sind die Oberseiten der STI-Bereiche 70 oberhalb oder unterhalb der Oberseiten der Finnen 62. Die STI-Bereiche 70 trennen die Strukturelemente von benachbarten Vorrichtungen.
  • Die STI-Bereiche 70 können mit jedem geeigneten Verfahren ausgebildet werden. Zum Beispiel kann ein Isoliermaterial über dem Substrat 50 und den Nanostrukturen 64, 66 und zwischen benachbarten Finnen 62 ausgebildet werden. Das Isoliermaterial kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid, wie etwa Siliziumnitrid, dergleichen oder eine Kombination davon sein und kann durch chemische Dampfphasenabscheidung (CVD) (CVD: chemical vapor deposition), wie etwa chemische Dampfphasenabscheidung mit einem Plasma hoher Dichte (HDP-CVD) (HDP-CVD: high density plasma CVD), fließfähige chemische Dampfphasenabscheidung (FCVD) (FCVD: flowable chemical vapor deposition), dergleichen oder eine Kombination davon abgeschieden werden. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden. Bei einigen Ausführungsformen ist das Isoliermaterial Siliziumoxid, das mit einem FCVD-Prozess abgeschieden wird. Ein Temperprozess kann durchgeführt werden, sobald das Isoliermaterial abgeschieden worden ist. Bei einer Ausführungsform wird das Isoliermaterial so ausgebildet, dass überschüssiges Isoliermaterial die Nanostrukturen 64, 66 bedeckt. Obwohl die STI-Bereiche 70 als eine einzelne Schicht dargestellt sind, können bei einigen Ausführungsformen mehrere Schichten verwendet werden. Zum Beispiel kann bei einigen Ausführungsformen zunächst eine Auskleidung (nicht separat dargestellt) entlang von Oberflächen des Substrats 50, der Finnen 62 und der Nanostrukturen 64, 66 ausgebildet werden. Danach kann ein Füllmaterial, wie etwa die vorstehend beschriebenen, über der Auskleidung ausgebildet werden.
  • Dann wird ein Entfernungsprozess auf das Isoliermaterial angewendet, um überschüssiges Isoliermaterial über den Nanostrukturen 64, 66 zu entfernen. Bei einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemischmechanische Polierung (CMP) (CMP: chemical mechanical polish), ein Rückätzprozess, eine Kombination davon oder dergleichen, verwendet werden. Bei Ausführungsformen, bei denen eine Maske auf den Nanostrukturen 64, 66 verbleibt, kann die Maske mit dem Planarisierungsprozess freigelegt werden oder die Maske kann damit entfernt werden. Nach dem Planarisierungsprozess sind die Oberseiten des Isoliermaterials und der Maske (falls vorhanden) oder der Nanostrukturen 64, 66 koplanar (innerhalb der Prozessschwankungen). Dementsprechend werden die Oberseiten der Maske (falls vorhanden) oder der Nanostrukturen 64, 66 durch das Isoliermaterial freigelegt. Bei der dargestellten Ausführungsform verbleibt keine Maske auf den Nanostrukturen 64, 66. Das Isoliermaterial wird dann ausgespart, um die STI-Bereiche 70 herzustellen. Das Isoliermaterial wird so ausgespart, dass zumindest ein Teil der Nanostrukturen 64, 66 zwischen benachbarten Teilen des Isoliermaterials herausragt. Außerdem können die Oberseiten der STI-Bereiche 70 eine ebene Oberfläche wie dargestellt, eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa Dishing) oder eine Kombination davon haben. Die Oberseiten der STI-Bereiche 70 können durch eine geeignete Ätzung eben, konvex und/oder konkav ausgebildet werden. Das Isoliermaterial kann unter Verwendung eines geeigneten Ätzprozesses, wie etwa einem, der für das Material des Isoliermaterials selektiv ist (z. B. das Isoliermaterial der STI-Bereiche 70 mit einer höheren Geschwindigkeit als die Materialien der Finnen 62 und der Nanostrukturen 64, 66 ätzt), ausgespart werden. Es kann zum Beispiel eine Oxidentfernung unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF-Säure) durchgeführt werden.
  • Der vorstehend beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 62 und die Nanostrukturen 64, 66 ausgebildet werden können. Bei einigen Ausführungsformen können die Finnen 62 und/oder die Nanostrukturen 64, 66 unter Verwendung einer Maske und eines epitaktischen Aufwachsprozesses ausgebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer Oberseite des Substrats 50 ausgebildet werden, und Gräben können durch die dielektrische Schicht durchgeätzt werden, um das darunter befindliche Substrat 50 freizulegen. Epitaktische Strukturen können in den Gräben epitaktisch aufgewachsen werden, und die dielektrische Schicht kann so ausgespart werden, dass die epitaktischen Strukturen aus der dielektrischen Schicht herausragen, um die Finnen 62 und/oder die Nanostrukturen 64, 66 zu bilden. Die epitaktischen Strukturen können die vorstehend beschriebenen sich abwechselnden Halbleitermaterialien, wie etwa das erste Halbleitermaterial und das zweite Halbleitermaterial, aufweisen. Bei einigen Ausführungsformen, bei denen epitaktische Strukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden, wodurch vorausgehende und/oder nachfolgende Implantationen vermieden werden, obwohl In situ- und Implantationsdotierung zusammen verwendet werden können.
  • Außerdem können geeignete Wannen (nicht separat dargestellt) in den Nanostrukturen 64, 66, den Finnen 62 und/oder dem Substrat 50 durch Dotieren (z. B. mit einem p- oder einen n-Dotierungsstoff) ausgebildet werden. Die Wannen können eine Leitfähigkeitsart aufweisen, die zu einer Leitfähigkeitsart von Source-/Drain-Bereichen, die nachfolgend jeweils in dem n-Bereich 50N und dem p-Bereich 50P ausgebildet werden, entgegengesetzt ist. Bei einigen Ausführungsformen wird eine p-Wanne in dem n-Bereich 50N ausgebildet, und eine n-Wanne wird in dem p-Bereich 50P ausgebildet. Bei einigen Ausführungsformen wird eine p-Wanne oder eine n-Wanne sowohl in dem n-Bereich 50N als auch in dem p-Bereich 50P ausgebildet.
  • Bei Ausführungsformen mit verschiedenen Wannenarten können unter Verwendung einer Maske (nicht separat dargestellt), wie etwa eines Fotoresists, verschiedene Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P ausgeführt werden. Zum Beispiel kann ein Fotoresist über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem n-Bereich 50N ausgebildet werden. Das Fotoresist wird strukturiert, um den p-Bereich 50P freizulegen. Das Fotoresist kann unter Verwendung eines Aufschleuderverfahrens ausgebildet werden und kann unter Verwendung von geeigneten fotolithografischen Verfahren strukturiert werden. Sobald das Fotoresist strukturiert worden ist, wird eine Implantation mit einem n-Dotierungsstoff in dem p-Bereich 50P durchgeführt, und das Fotoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass n-Dotierungsstoffe in den n-Bereich 50N implantiert werden. Die n-Dotierungsstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in den Bereich bis zu einer Konzentration von 1013 cm-3 bis 1014 cm-3 implantiert werden. Nach der Implantation kann das Fotoresist zum Beispiel mit jedem geeigneten Ablösungsprozess entfernt werden.
  • Nach oder vor dem Implantieren des p-Bereichs 50P wird eine Maske (nicht separat dargestellt), wie etwa ein Fotoresist, über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem p-Bereich 50P ausgebildet. Das Fotoresist wird strukturiert, um den n-Bereich 50N freizulegen. Das Fotoresist kann unter Verwendung eines Aufschleuderverfahrens ausgebildet werden und kann unter Verwendung von geeigneten fotolithografischen Verfahren strukturiert werden. Sobald das Fotoresist strukturiert worden ist, kann eine Implantation mit einem p-Dotierungsstoff in dem n-Bereich 50N durchgeführt werden, und das Fotoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass p-Dotierungsstoffe in den p-Bereich 50P implantiert werden. Die p-Dotierungsstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in den Bereich bis zu einer Konzentration von 1013 cm-3 bis 1014 cm-3 implantiert werden. Nach der Implantation kann das Fotoresist zum Beispiel mit jedem geeigneten Ablösungsprozess entfernt werden.
  • Nach den Implantationen des n-Bereichs 50N und des p-Bereichs 50P kann ein Temperprozess durchgeführt werden, um Implantationsschäden zu reparieren und die p- und/oder n-Dotierungsstoffe, die implantiert worden sind, zu aktivieren. Bei einigen Ausführungsformen, bei denen epitaktische Strukturen epitaktisch für die Finnen 62 und/oder die Nanostrukturen 64, 66 aufgewachsen werden, können die aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden, wodurch die Implantationen vermieden werden können, obwohl In situ- und Implantationsdotierung zusammen verwendet werden können.
  • In 5 wird eine dielektrische Dummy-Schicht 72 auf den Finnen 62 und den Nanostrukturen 64, 66 ausgebildet. Die dielektrische Dummy-Schicht 72 kann aus einem dielektrischen Material, wie etwa Siliziumoxid, Siliziumnitrid, einer Kombination davon oder dergleichen ausgebildet werden, das gemäß geeigneten Verfahren abgeschieden oder thermisch aufgewachsen werden kann. A Dummy-Gateschicht 74 wird über der dielektrischen Dummy-Schicht 72 ausgebildet, und eine Maskenschicht 76 wird über der Dummy-Gateschicht 74 ausgebildet. Die Dummy-Gateschicht 74 kann über der dielektrischen Dummy-Schicht 72 abgeschieden und dann zum Beispiel durch eine CMP planarisiert werden. Die Dummy-Gateschicht 74 kann aus einem leitfähigen oder einem nichtleitfähigen Material, wie etwa amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), einem Metall, einem Metallnitrid, einem Metallsilizid, einem Metalloxid oder dergleichen, ausgebildet werden, das durch physikalische Dampfphasenabscheidung (PVD) (PVD: physical vapor deposition), CVD oder dergleichen abgeschieden werden kann. Die Dummy-Gateschicht 74 kann aus einem oder mehreren Materialien ausgebildet werden, die gegenüber dem Ätzen von Isoliermaterialien, z. B. den STI-Bereichen 70 und/oder der dielektrischen Dummy-Schicht 72, eine hohe Ätzselektivität aufweisen. Die Maskenschicht 76 kann über der Dummy-Gateschicht 74 abgeschieden werden. Die Maskenschicht 76 kann aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumoxidnitrid oder dergleichen, ausgebildet werden. In diesem Beispiel werden eine einzelne Dummy-Gateschicht 74 und eine einzelne Maskenschicht 76 quer über den n-Bereich 50N und den p-Bereich 50P ausgebildet. Bei der dargestellten Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 die Finnen 62, die Nanostrukturen 64, 66 und die STI-Bereiche 70, sodass die dielektrische Dummy-Schicht 72 sich über den STI-Bereichen 70 und zwischen der Dummy-Gateschicht 74 und den STI-Bereichen 70 erstreckt. Bei einer anderen Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 nur die Finnen 62 und die Nanostrukturen 64, 66.
  • In 6 wird die Maskenschicht 76 unter Verwendung von geeigneten fotolithografischen und Ätzverfahren strukturiert, um Masken 86 herzustellen. Die Struktur der Masken 86 wird dann mit einem geeigneten Ätzverfahren auf die Dummy-Gateschicht 74 übertragen, um Dummy-Gates 84 herzustellen. Die Struktur der Masken 86 kann mit einem geeigneten Ätzverfahren optional weiter auf die dielektrische Dummy-Schicht 72 übertragen werden, um Dummy-Dielektrika 82 herzustellen. Die Dummy-Gates 84 bedecken Teile der Nanostrukturen 64, 66, die bei der nachfolgenden Bearbeitung freigelegt werden, um Kanalbereiche herzustellen. Die Dummy-Gates 84 erstrecken sich insbesondere entlang den Teilen der zweiten Nanostrukturen 66, die strukturiert werden, um Kanalbereiche 68 herzustellen. Die Struktur der Masken 86 kann verwendet werden, um benachbarte Dummy-Gates 84 physisch zu trennen. Die Dummy-Gates 84 können auch Längsrichtungen haben, die im Wesentlichen senkrecht (innerhalb der Prozessschwankungen) zu den Längsrichtungen der Finnen 62 sind. Die Masken 86 können nach dem Strukturieren zum Beispiel mit einem geeigneten Ätzverfahren optional entfernt werden.
  • Die 7A-11C, 14A-17 und 20A-25C zeigen verschiedene weitere Schritte bei der Herstellung von Vorrichtungen der Ausführungsformen. Die 7A-11C, 14A-17 und 20A-25C zeigen Strukturelemente in dem n-Bereich 50N und dem p-Bereich 50P. Zum Beispiel können die dargestellten Strukturen sowohl für den n-Bereich 50N als auch den p-Bereich 50P anwendbar sein. Unterschiede (falls vorhanden) in den Strukturen des n-Bereichs 50N und des p-Bereichs 50P werden in der Beschreibung zu jeder Figur erläutert.
  • In den 7A-7C werden Gate-Abstandshalter 88 über den Nanostrukturen 64, 66 auf freiliegenden Seitenwänden der Masken 86 (falls vorhanden), der Dummy-Gates 84 und der Dummy-Dielektrika 82 ausgebildet. Die Gate-Abstandshalter 88 können durch konformes Abscheiden eines oder mehrerer dielektrischer Materialien und nachfolgendes Ätzen des einen oder der mehreren dielektrischen Materialien ausgebildet werden. Geeignete dielektrische Materialien können Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid oder dergleichen, aufweisen, die mit einem konformen Abscheidungsprozess, wie etwa chemische Dampfphasenabscheidung (CVD) (CVD: chemical vapor deposition), plasmaunterstützte chemische Dampfphasenabscheidung (PECVD) (PECVD: plasma-enhanced chemical vapor deposition), Atomlagenabscheidung (ALD) (ALD: atomic layer deposition), plasmaunterstützte Atomlagenabscheidung (PEALD) (PEALD: plasma-enhanced atomic layer deposition) oder dergleichen abgeschieden werden können. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden. Jeder geeignete Ätzprozess, wie etwa Trockenätzung, Nassätzung, dergleichen oder eine Kombination davon kann durchgeführt werden, um das eine oder die mehreren dielektrischen Materialien zu strukturieren. Die Ätzung kann anisotrop sein. Teile des einen oder der mehreren dielektrischen Materialien verbleiben beim Ätzen auf den Seitenwänden der Dummy-Gates 84 (und bilden so die Gate-Abstandshalter 88). Teile des einen oder der mehreren dielektrischen Materialien können beim Ätzen auch auf den Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 verbleiben (und so Finnen-Abstandshalter 90 bilden). Nach dem Ätzen können die Finnen-Abstandshalter 90 (falls vorhanden) und die Gate-Abstandshalter 88 gerade Seitenwände (wie dargestellt) oder gekrümmte Seitenwände (nicht separat dargestellt) haben.
  • Außerdem können Implantationen durchgeführt werden, um leicht dotierte Source-/Drain-Bereiche (LDD-Bereiche) (LDD: lightly doped source/drain) (nicht separat dargestellt) herzustellen. Bei den Ausführungsformen mit verschiedenen Vorrichtungsarten kann ähnlich den Implantationen für die Wannen, die vorstehend beschrieben worden sind, eine Maske (nicht separat dargestellt), wie etwa ein Fotoresist, über dem n-Bereich 50N ausgebildet werden, während der p-Bereich 50P freigelegt wird, und Dotierungsstoffe einer geeigneten Art (z. B. p-Dotierungsstoffe) können in die Finnen 62 und/oder die Nanostrukturen 64, 66, die in dem p-Bereich 50P freigelegt sind, implantiert werden. Die Maske kann dann entfernt werden. Nachfolgend kann eine Maske (nicht separat dargestellt), wie etwa ein Fotoresist, über dem p-Bereich 50P ausgebildet werden, während der n-Bereich 50N freigelegt wird, und Dotierungsstoffe einer geeigneten Art (z. B. n- Dotierungsstoffe) können in die Finnen 62 und/oder die Nanostrukturen 64, 66, die in dem n-Bereich 50N freigelegt sind, implantiert werden. Die Maske kann dann entfernt werden. Die n-Dotierungsstoffe können die bereits erörterten n-Dotierungsstoffe sein, und die p-Dotierungsstoffe können die bereits erörterten p-Dotierungsstoffe sein. Während der Implantation bleiben die Kanalbereiche 68 durch die Dummy-Gates 84 bedeckt, sodass die Kanalbereiche 68 im Wesentlichen frei von dem Dotierungsstoff bleiben, der implantiert wird, um die LDD-Bereiche herzustellen. Die LDD-Bereiche können eine Dotierungskonzentration in dem Bereich von 1015 cm-3 bis 1019 cm-3 haben. Ein Temperprozess kann dann durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten Dotierungsstoffe zu aktivieren.
  • Es ist zu beachten, dass die vorliegende Offenbarung im Allgemeinen einen Prozess zum Ausbilden von Abstandhaltern und LDD-Bereichen beschreibt. Andere Prozesse und Reihenfolgen können verwendet werden. Zum Beispiel können weniger oder zusätzliche Abstandhalter verwendet werden, eine andere Reihenfolge von Schritten kann verwendet werden, zusätzliche Abstandhalter können ausgebildet und entfernt werden, und/oder dergleichen. Außerdem können die n-Vorrichtungen und die p-Vorrichtungen unter Verwendung von anderen Strukturen und Schritten ausgebildet werden.
  • In den 8A-8C werden Source-/Drain-Aussparungen 92 in den Nanostrukturen 64, 66 ausgebildet. Bei der dargestellten Ausführungsform erstrecken sich die Source-/Drain-Aussparungen 92 durch die Nanostrukturen 64, 66 und in die Finnen 62. Die Source-/Drain-Aussparungen 92 können sich auch in das Substrat 50 erstrecken. Bei verschiedenen Ausführungsformen können sich die Source-/Drain-Aussparungen 92 bis zu einer Oberseite des Substrats 50 erstrecken, ohne das Substrat 50 zu ätzen; die Finnen 62 können so geätzt werden, dass Unterseiten der Source-/Drain-Aussparungen 92 unterhalb der Oberseiten der STI-Bereiche 70 angeordnet sind; oder dergleichen. Die Source-/Drain-Aussparungen 92 können durch Ätzen der Nanostrukturen 64, 66 unter Verwendung eines anisotropen Ätzprozesses, wie etwa einer RIE, einer NBE oder dergleichen, ausgebildet werden. Die Gate-Abstandshalter 88 und die Dummy-Gates 84 maskieren zusammen Teile der Finnen 62 und/oder der Nanostrukturen 64, 66 während der Ätzprozesse, die zum Ausbilden der Source-/Drain-Aussparungen 92 verwendet werden. Ein einzelner Ätzprozess kann zum Ätzen jeder der Nanostrukturen 64, 66 verwendet werden, oder mehrere Ätzprozesse können zum Ätzen der Nanostrukturen 64, 66 verwendet werden. Zeitgesteuerte Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Aussparungen 92 zu stoppen, nachdem die Source-/Drain-Aussparungen 92 eine gewünschte Tiefe erreicht haben. Die Finnen-Abstandshalter 90 (falls vorhanden) können während oder nach der Ätzung der Source-/Drain-Aussparungen 92 geätzt werden, so dass die Höhe der Finnen-Abstandshalter 90 verringert wird. Die Abmessungen der Source-/Drain-Bereiche, die anschließend in den Source-/Drain-Aussparungen 92 ausgebildet werden, können durch Einstellen der Höhe der Finnen-Abstandshalter 90 gesteuert werden.
  • In den 9A-9C werden die Source-/Drain-Aussparungen 92 lateral erweitert, um Seitenwand-Aussparungen 92S in den Source-/Drain-Aussparungen 92 herzustellen. Insbesondere werden Teile der Seitenwände der ersten Nanostrukturen 64, die durch die Source-/Drain-Aussparungen 92 freigelegt worden sind, ausgespart. Obwohl Seitenwände der ersten Nanostrukturen 64 so dargestellt sind, dass sie gerade sind, können die Seitenwände auch konkav oder konvex sein. Die Seitenwände können mit jedem geeigneten Ätzprozess ausgespart werden, wie etwa einen Ätzprozess, der gegenüber dem Material der ersten Nanostrukturen 64 selektiv ist (z. B. das Material der ersten Nanostrukturen 64 selektiv mit einer höheren Geschwindigkeit ätzt als das Material der zweiten Nanostrukturen 66). Der Ätzprozess kann isotrop sein. Wenn zum Beispiel die zweiten Nanostrukturen 66 aus Silizium ausgebildet werden und die ersten Nanostrukturen 64 aus Silizium-Germanium ausgebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. Bei einer anderen Ausführungsform kann der Ätzprozess eine Trockenätzung unter Verwendung eines fluorbasierten Gases, wie etwa Fluorwasserstoff(HF)-Gas. Bei einigen Ausführungsformen kann derselbe Ätzprozess kontinuierlich durchgeführt werden, um sowohl die Source-/Drain-Aussparungen 92 herzustellen als auch die Seitenwände der ersten Nanostrukturen 64 auszusparen.
  • Bei einigen Ausführungsformen werden die Breiten der Gate-Abstandshalter 88 verringert, wie etwa durch den Ätzprozess, der zum Ausbilden und/oder Erweitern der Source-/Drain-Aussparungen 92 verwendet wird. Außerdem können die Seitenwände der zweiten Nanostrukturen 66 mit dem Ätzprozess geätzt werden, der zum Ausbilden und/oder Erweitern der Source-/Drain-Aussparungen 92 verwendet wird. Bei einigen Ausführungsformen sind die Seitenwände der zweiten Nanostrukturen 66 auf dieser Stufe der Bearbeitung gerundete konvexe Seitenwände.
  • In den 10A-10C wird eine Abstandshalterschicht 94 in den Source-/Drain-Aussparungen 92, auch in den Seitenwand-Aussparungen 92S (siehe 9A-9C), abgeschieden. Die Abstandshalterschicht 94 kann auch auf den STI-Bereichen 70 abgeschieden werden. Die Abstandshalterschicht 94 kann aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid oder dergleichen ausgebildet werden, das mit einem konformen Abscheidungsprozess, wie etwa ALD, CVD oder dergleichen, abgeschieden werden kann. Ein dielektrisches Low-k-Material (z. B. dielektrische Materialien mit einem k-Wert kleiner als etwa 3,5) kann verwendet werden. Es können auch andere Isoliermaterialien, die mit einem geeigneten Prozess ausgebildet werden, verwendet werden.
  • In den 11A-11C wird die Abstandshalterschicht 94 strukturiert, um innere Abstandshalter 96 in den Seitenwand-Aussparungen 92S (siehe 9A-9C) der Source-/Drain-Aussparungen 92 herzustellen. Die Abstandshalterschicht 94 kann mit jedem geeigneten Ätzprozess, wie etwa reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE), dergleichen oder einer Kombination davon, strukturiert werden. Der Ätzprozess kann anisotrop sein. Obwohl äußere Seitenwände der inneren Abstandshalter 96 so dargestellt sind, dass sie bündig in Bezug auf die Seitenwände der Gate-Abstandshalter 88 sind, können sich die äußeren Seitenwände der inneren Abstandshalter 96 über die Seitenwände der Gate-Abstandshalter 88 hinaus erstrecken oder gegenüber den Seitenwänden der Gate-Abstandshalter 88 ausgespart sein. Mit anderen Worten, die inneren Abstandshalter 96 können die Seitenwand-Aussparungen 92S teilweise füllen, vollständig füllen oder übermäßig füllen. Obwohl die Seitenwände der inneren Abstandshalter 96 so dargestellt sind, dass sie konkav sind, können die Seitenwände der inneren Abstandshalter 96 auch gerade oder konvex sein.
  • Nach dem Ausbilden sind die inneren Abstandshalter 96 auf den Seitenwänden der verbliebenen Teile der ersten Nanostrukturen 64, z. B. jenen Seitenwänden, die durch die Source-/Drain-Aussparungen 92 freigelegt worden sind, angeordnet. Wie nachfolgend detaillierter beschrieben werden wird, werden Source-/Drain-Bereiche später in den Source-/Drain-Aussparungen 92 ausgebildet, und die ersten Nanostrukturen 64 werden später durch entsprechende Gatestrukturen ersetzt. Die inneren Abstandshalter 96 fungieren als Isolationsstrukturelemente zwischen den später ausgebildeten Source-/Drain-Bereichen und den später ausgebildeten Gatestrukturen. Weiterhin können die inneren Abstandshalter 96 verwendet werden, um im Wesentlichen zu verhindern, dass später ausgebildete Source-/Drain-Bereiche durch spätere Ätzprozesses, wie etwa Ätzprozesse, die zum späteren Entfernen der ersten Nanostrukturen 64 verwendet werden, beschädigt werden.
  • Bei dieser Ausführungsform wird die Abstandshalterschicht 94 von den Unterseiten der Source-/Drain-Aussparungen 92 entfernt, sodass keine Abstandshalter auf den Unterseiten der Source-/Drain-Aussparungen 92 ausgebildet werden. Bei anderen Ausführungsformen (nachfolgend für die 32A-33 beschrieben) wird die Abstandshalterätzung, die zum Ausbilden der inneren Abstandshalter 96 verwendet wird, so eingestellt, dass Abstandshalter auch auf den Unterseiten der Source-/Drain-Aussparungen 92, wie etwa auf den Oberflächen der Finnen 62, ausgebildet werden.
  • Nachdem die Source-/Drain-Aussparungen 92 und die inneren Abstandshalter 96 ausgebildet worden sind, können die zweiten Nanostrukturen 66 eine Vielzahl von Seitenwandarten aufweisen. Die 12A-12E zeigen verschiedene Seitenwandarten in einem Bereich 50S in 11A. Die Seitenwände der zweiten Nanostrukturen 66 können gerundete konvexe Seitenwände sein, wie in 12A gezeigt ist. Die Seitenwände der zweiten Nanostrukturen 66 können polygonale konvexe Seitenwände sein, wie in 12B gezeigt ist. Die Seitenwände der zweiten Nanostrukturen 66 können gerade Seitenwände sein, wie in 12C gezeigt ist. Die Seitenwände der zweiten Nanostrukturen 66 können polygonale konkave Seitenwände sein, wie in 12D gezeigt ist. Die Seitenwände der zweiten Nanostrukturen 66 können gerundete konkave Seitenwände sein, wie in 12E gezeigt ist. Spätere Bearbeitungsschritte werden für die Ausführungsform von 12A gezeigt, obwohl diese Bearbeitungsschritte für jede der Ausführungsformen durchgeführt werden können.
  • Nachdem die Source-/Drain-Aussparungen 92 und die inneren Abstandshalter 96 ausgebildet worden sind, können außerdem die Source-/Drain-Aussparungen 92, die sich in die Finnen 62 erstrecken, eine Vielzahl von Unterseitenarten haben. Die 13A-13C zeigen verschiedene Unterseitenarten in einem Bereich 50B in 11A. Die Unterseiten der Source-/Drain-Aussparungen 92, die sich in die Finnen 62 erstrecken, können flache gerundete konkave Unterseiten sein, wie in 13A gezeigt ist. Die Unterseiten der Source-/Drain-Aussparungen 92, die sich in die Finnen 62 erstrecken, können tiefe gerundete konkave Unterseiten sein, wie in 13B gezeigt ist. Die tiefen gerundeten konkaven Unterseiten, die in 13B gezeigt sind, erstrecken sich weiter in die Finnen 62 als die flachen gerundeten konkaven Unterseiten, die in 13A gezeigt sind. Bei einigen Ausführungsformen haben die flachen gerundeten konkaven Unterseiten eine Tiefe D1 in dem Bereich von 3 nm bis 20 nm, und die tiefen gerundeten konkaven Unterseiten haben eine Tiefe D2 in dem Bereich von 20 nm bis 50 nm. Die Unterseiten der Source-/Drain-Aussparungen 92, die sich in die Finnen 62 erstrecken, können polygonale konkave Unterseiten sein, wie in 13C gezeigt ist. Spätere Bearbeitungsschritte werden für die Ausführungsform in 13A gezeigt, obwohl diese Bearbeitungsschritte für jede der Ausführungsformen durchgeführt werden können.
  • Die zweiten Nanostrukturen 66 und/oder die Source-/Drain-Aussparungen 92 können mit einem geeigneten Ätzprozess so geformt werden, dass sie die für die 12A-13C beschriebenen Seitenwandarten und/oder Unterseitenarten haben. Das Formen der zweiten Nanostrukturen 66 und/oder der Source-/Drain-Aussparungen 92 kann im Ergebnis einer Ätzung erfolgen, die verwendet wird, um zunächst die Source-/Drain-Aussparungen 92 (siehe 8A-8C) herzustellen, im Ergebnis der Ätzung, die zum Erweitern der Source-/Drain-Aussparungen 92 verwendet wird (siehe 9A-9C) und/oder im Ergebnis der Ätzung, die zum Strukturieren der Abstandshalterschicht 94 verwendet wird (siehe 11A-11C). Die zweiten Nanostrukturen 66 und/oder die Source-/Drain-Aussparungen 92 können auch mit einer getrennten Ätzung geformt werden, die nach dem Ausbilden der inneren Abstandshalter 96 durchgeführt wird. Bei einigen Ausführungsformen ist der Ätzprozess eine anisotrope Nassätzung, die mit Kaliumhydroxid (KOH), Tetramethylammoniumhydroxid (TMAH) und Ethylendiamin-Pyrocatechol (EDP) durchgeführt wird. Bei einigen Ausführungsformen ist der Ätzprozess eine anisotrope Trockenätzung, die mit Tetrafluormethan (CF4), Fluormethan (CH3F), Bromwasserstoff (HBr) und Sauerstoff(O2)-Gas in Helium (He) und/oder Argon (Ar) durchgeführt wird, während ein Plasma mit einer Vorspannung oder einer Vorspannungsleistung erzeugt wird. Bei einigen Ausführungsformen ist der Ätzprozess eine isotrope Trockenätzung, die mit Stickstofftrifluorid (NF3), Chlor(Cl2)-Gas und Wasserstoff(H2)-Gas in Helium (He) und/oder Argon (Ar) durchgeführt wird. Bei einigen Ausführungsformen ist die Ätzung eine Kombination dieser Prozesse.
  • In den 14A-14C werden epitaktische Source-/Drain-Bereiche 98 in den Source-/Drain-Aussparungen 92 ausgebildet. Die epitaktischen Source-/Drain-Bereiche 98 werden in den Source-/Drain-Aussparungen 92 so ausgebildet, dass jedes Dummy-Gate 84 (und entsprechender Kanalbereich 68) zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 98 angeordnet ist. Bei einigen Ausführungsformen werden die Gate-Abstandshalter 88 und die inneren Abstandshalter 96 verwendet, um die epitaktischen Source-/Drain-Bereichen 98 jeweils von den Dummy-Gates 84 und den ersten Nanostrukturen 64 durch einen geeigneten lateralen Abstand zu trennen, sodass die epitaktischen Source-/Drain-Bereiche 98 mit später ausgebildeten Gates der resultierenden Nano-FETs keinen Kurzschluss bilden. Ein Material der epitaktischen Source-/Drain-Bereiche 98 kann so ausgewählt werden, dass es Spannung in den jeweiligen Kanalbereichen 68 aufbringt, wodurch die Leistung verbessert wird.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können durch Maskieren des p-Bereichs 50P ausgebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N epitaktisch in den Source-/Drain-Aussparungen 92 in dem n-Bereich 50N aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 98 können jedes akzeptable Material aufweisen, das für n-Vorrichtungen geeignet ist. Wenn zum Beispiel die zweiten Nanostrukturen 66 Silizium sind, können die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N Materialien aufweisen, die eine Zugspannung auf die Kanalbereiche 68 aufbringen, wie etwa Silizium, Siliziumcarbid, mit Phosphor dotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können als „n-Source-/Drain-Bereiche“ bezeichnet werden. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können Oberflächen haben, die gegenüber den jeweiligen Oberflächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind, und können Facetten haben.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können durch Maskieren des n-Bereichs 50N ausgebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P epitaktisch in den Source-/Drain-Aussparungen 92 in dem p-Bereich 50P aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 98 können jedes akzeptable Material aufweisen, das für p-Vorrichtungen geeignet ist. Wenn zum Beispiel die zweiten Nanostrukturen 66 Silizium sind, können die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P Materialien aufweisen, die eine Druckspannung auf die Kanalbereiche 68 aufbringen, wie etwa Silizium-Germanium, mit Bor dotiertes Silizium-Germanium, Germanium, Germanium-Zinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können als „p-Source-/Drain-Bereiche“ bezeichnet werden. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können Oberflächen haben, die gegenüber den jeweiligen Oberflächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind, und können Facetten haben.
  • Dotierungsstoffe können in die epitaktischen Source-/Drain-Bereiche 98, die Nanostrukturen 64, 66 und/oder die Finnen 62 implantiert werden, um Source-/Drain-Bereiche herzustellen, wobei der Prozess dem vorstehend beschriebenen Prozess zum Ausbilden von LDD-Bereichen ähnelt, und dann ein Temperprozess durchgeführt wird. Die Source-/Drain-Bereiche können eine Dotierungskonzentration in dem Bereich von 1019 cm-3 bis 1021 cm-3 haben. Die vorstehend beschriebenen Dotierungsstoffe können als n- und/oder p-Dotierungsstoffe für Source-/Drain-Bereiche verwendet werden. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 98 in situ während des Aufwachsens dotiert werden.
  • Im Ergebnis der Epitaxieprozesse, die zum Ausbilden der epitaktischen Source-/Drain-Bereiche 98 verwendet worden sind, haben Oberseiten der epitaktischen Source-/Drain-Bereiche Facetten, die sich lateral nach außen über Seitenwände der Finnen 62 und der Nanostrukturen 64, 66 hinaus ausdehnen. Bei einigen Ausführungsformen bewirken diese Facetten, dass benachbarte epitaktische Source-/Drain-Bereiche 98 verschmelzen, wie in 14C gezeigt ist. Bei einigen Ausführungsformen bleiben benachbarte epitaktische Source-/Drain-Bereiche 98 getrennt, nachdem der Epitaxieprozess beendet ist (nicht separat dargestellt). Bei den dargestellten Ausführungsformen wird die Abstandshalterätzung, die zum Ausbilden der Gate-Abstandshalter 88 verwendet wird, so eingestellt, dass die Finnen-Abstandshalter 90 auch auf Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 ausgebildet werden. Die Finnen-Abstandshalter 90 werden so ausgebildet, dass sie einen Teil der Seitenwände der Finnen 62 und/oder der Nanostrukturen 64, 66 bedecken, die sich oberhalb der STI-Bereiche 70 erstrecken, wodurch das epitaktische Wachstum blockiert wird. Bei einer anderen Ausführungsform wird die Abstandshalterätzung, die zum Ausbilden der Gate-Abstandshalter 88 verwendet wird, so eingestellt, dass keine Finnen-Abstandshalter ausgebildet werden, sodass die epitaktischen Source-/Drain-Bereiche 98 sich bis zu der Oberfläche der STI-Bereiche 70 erstrecken können.
  • Die epitaktischen Source-/Drain-Bereiche 98 weisen mehrere Halbleitermaterialschichten auf. Insbesondere weisen die epitaktischen Source-/Drain-Bereiche 98 jeweils eine Auskleidungsschicht 98A, eine Hauptschicht 98B und (optional) eine Deckschicht 98C (oder allgemeiner eine erste eine zweite und eine dritte Schicht) auf. Andere Anzahlen von Halbleitermaterialschichten können für die epitaktischen Source-/Drain-Bereiche 98 verwendet werden. Die Auskleidungsschichten 98A werden auf den Oberflächen von Halbleiterstrukturelementen (z. B. Oberflächen der Finnen 62 und der zweiten Nanostrukturen 66) in den Source-/Drain-Aussparungen 92 aufgewachsen. Die Hauptschichten 98B werden auf den Auskleidungsschichten 98A aufgewachsen. Die Deckschichten 98C (falls vorhanden) werden auf den Hauptschichten 98B aufgewachsen. Die Auskleidungsschicht 98A, die Hauptschicht 98B und die Deckschicht 98C können jeweils aus anderen Halbleitermaterialien ausgebildet werden und können so (z. B. mit einem p- oder einem n-Dotierungsstoff) dotiert werden, dass sie unterschiedliche Dotierungskonzentrationen aufweisen. Bei einigen Ausführungsformen haben die Hauptschichten 98B eine größere Dotierungskonzentration als die Deckschichten 98C, und die Deckschichten 98C haben eine größere Dotierungskonzentration als die Auskleidungsschichten 98A. Das Ausbilden der Auskleidungsschichten 98A mit einer kleineren Dotierungskonzentration als die Hauptschichten 98B kann die Haftung in den Source-/Drain-Aussparungen 92 vergrößern, und das Ausbilden der Deckschichten 98C mit einer kleineren Dotierungskonzentration als die Hauptschichten 98B kann das Ausdiffundieren von Dotanden aus den Hauptschichten 98B bei der späteren Bearbeitung verringern.
  • Wenn die zweiten Nanostrukturen 66 aus Silizium ausgebildet werden und die ersten Nanostrukturen 64 aus Silizium-Germanium ausgebildet werden, kann eine Zugspannung auf die Kanalbereiche 68 in dem n-Bereich 50N aufgebracht werden, wodurch die Neigung von Dotanden zur Diffusion in die Kanalbereiche 68 während des Aufwachsens der epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N zunimmt. Zum Beispiel kann eine Zugspannung von bis zu 0,5 % auf die Kanalbereiche 68 in dem n-Bereich 50N aufgebracht werden, nachdem die Source-/Drain-Aussparungen 92 in den Nanostrukturen 64, 66 ausgebildet worden sind. Gemäß verschiedenen Ausführungsformen werden die Auskleidungsschichten 98A in dem n-Bereich 50N aus einem Material ausgebildet, das dabei hilft, das Ausdiffundieren von Dotanden aus den Hauptschichten 98B in die zweiten Nanostrukturen 66 oder die Finnen 62 zu verringern. Die Diffusion von Dotanden in die Kanalbereiche 68 kann dadurch verringert werden, wodurch die Kanalmobilität vergrößert und folglich die Leistung der Vorrichtung gesteigert wird.
  • Das Halbleitermaterial der Auskleidungsschichten 98A in dem n-Bereich 50N ist ein Verbindungshalbleiter, der ein Halbleiterelement und ein Sperrelement enthält. Das Sperrelement hilft dem Halbleitermaterial der Auskleidungsschichten 98A die Diffusion von n-Dotanden (z. B. Phosphor, Arsen, usw.) zu unterdrücken. Bei einigen Ausführungsformen ist das Halbleiterelement Silizium und das Sperrelement ist Kohlenstoff. Das eine oder die mehreren Halbleitermaterialien der Hauptschichten 98B und/oder der Deckschichten 98C (falls vorhanden) können das Sperrelement nicht enthalten. Bei einigen Ausführungsformen werden die Hauptschichten 98B und/oder die Deckschichten 98C aus demselben Halbleitermaterial ausgebildet, und dieses Halbleitermaterial ist ein elementarer Halbleiter, der das Halbleiterelement enthält, aber das Sperrelement nicht enthält. Mit anderen Worten, das Halbleitermaterial der Auskleidungsschichten 98A kann ein kohlenstoffhaltiges Halbleitermaterial (z. B. Siliziumcarbid) sein, aber das eine oder die mehreren Halbleitermaterialien der Hauptschichten 98B und/oder der Deckschichten 98C können ein oder mehrere kohlenstofffreie Halbleitermaterialien (z. B. Silizium) sein. Die Konzentration des Sperrelements in dem Halbleitermaterial der Auskleidungsschichten 98A bestimmt, wie gut die Auskleidungsschichten 98A das Ausdiffundieren von Dotanden aus den Hauptschichten 98B verringern. Bei einigen Ausführungsformen hat das Halbleitermaterial der Auskleidungsschichten 98A eine Konzentration des Sperrelements (z. B. eine Kohlenstoffkonzentration) in dem Bereich von 0,1 Atomprozent (at%) bis 2 at%. Das Ausbilden des Halbleitermaterials der Auskleidungsschichten 98A mit einer Konzentration des Sperrelements, die kleiner als 0,1 at% ist, kann das Ausdiffundieren von Dotanden aus den Hauptschichten 98B möglicherweise nicht ausreichend verringern, wodurch die Leistung der Vorrichtung herabgesetzt wird. Das Ausbilden des Halbleitermaterials der Auskleidungsschichten 98A mit einer Konzentration des Sperrelements, die größer als 2 at% ist, kann bewirken, dass der Widerstand der epitaktischen Source-/Drain-Bereiche 98 übermäßig hoch ist, wodurch die Leistung der Vorrichtung herabgesetzt wird. Die Auskleidungsschichten 98A haben eine größere Konzentration des Sperrelements als die Hauptschichten 98B und die Deckschichten 98C, z. B. haben die Auskleidungsschichten 98A eine größere Kohlenstoffkonzentration als die Hauptschichten 98B und die Deckschichten 98C.
  • Eine oder mehrere der Schichten 98A, 98B, 98C in dem n-Bereich 50N weisen weiterhin Dotierungsstoffe einer geeigneten Art auf (z. B. n-Dotierungsstoffe), um Source-/Drain-Bereiche herzustellen, die von dem Sperrelement verschieden sind. Die n-Dotierungsstoffe können die vorstehend beschriebenen n-Dotierungsstoffe sein. Bei einigen Ausführungsformen weisen die Schichten 98A, 98B, 98C jeweils die Dotierungsstoffe auf. Wenn zum Beispiel die n-Dotierungsstoffe Phosphor sind, können die Deckschichten 98C aus mit Phosphor dotiertem Silizium ausgebildet werden, die Hauptschichten 98B können aus mit Phosphor dotiertem Silizium ausgebildet werden, und die Auskleidungsschichten 98A können aus mit Phosphor dotiertem Siliziumcarbid oder undotiertem Siliziumcarbid ausgebildet werden. In ähnlicher Weise können, wenn die n-Dotierungsstoffe Arsen sind, die Deckschichten 98C aus mit Arsen dotiertem Silizium ausgebildet werden, die Hauptschichten 98B können aus mit Arsen dotiertem Silizium ausgebildet werden, und die Auskleidungsschichten 98A können aus mit Arsen dotiertem Siliziumcarbid oder undotiertem Siliziumcarbid ausgebildet werden. Die Konzentration der Dotierungsstoffe in den Auskleidungsschichten 98A und den Hauptschichten 98B bestimmt, wie gut die Auskleidungsschichten 98A das Ausdiffundieren von Dotanden aus den Hauptschichten 98B verringern. Bei einigen Ausführungsformen haben die Auskleidungsschichten 98A eine Dotierungskonzentration in dem Bereich von 2×1020 cm-3 bis 2×1021 cm-3. Bei einigen Ausführungsformen haben die Hauptschichten 98B eine Dotierungskonzentration in dem Bereich von 1×1020 cm-3 bis 5×1021 cm-3. Bei einigen Ausführungsformen weisen die Hauptschichten 98B und die Deckschichten 98C die Dotierungsstoffe auf, aber die Auskleidungsschichten 98A sind frei von den Dotierungsstoffen.
  • Die Dicke der Auskleidungsschichten 98A in dem n-Bereich 50N bestimmt, wie gut die Auskleidungsschichten 98A das Ausdiffundieren von Dotanden aus den Hauptschichten 98B verringern. Die Dicke T1 der Teile der Auskleidungsschichten 98A entlang den zweiten Nanostrukturen 66 kann kleiner sein als die Dicke T2 der Teile der Auskleidungsschichten 98A entlang den Finnen 62. Bei einigen Ausführungsformen haben die Teile der Auskleidungsschichten 98A entlang den zweiten Nanostrukturen 66 eine Dicke T1 in dem Bereich von 1 nm bis 6 nm, und die Teile der Auskleidungsschichten 98A entlang den Finnen 62 haben eine Dicke T2 in dem Bereich von 1 nm bis 30 nm. Das Ausbilden der Auskleidungsschichten 98A mit einer Dicke T1, die kleiner als 1 nm ist, oder mit einer Dicke T2, die kleiner als 1 nm ist, kann das Ausdiffundieren von Dotanden aus den Hauptschichten 98B möglicherweise nicht ausreichend verringern, wodurch die Leistung der Vorrichtung herabgesetzt wird. Das Ausbilden der Auskleidungsschichten 98A mit einer Dicke T1, die größer als 6 nm ist, oder mit einer Dicke T2, die größer als 30 nm ist, kann bewirken, dass der Widerstand der epitaktischen Source-/Drain-Bereiche 98 übermäßig hoch ist, wodurch die Leistung der Vorrichtung herabgesetzt wird.
  • Die 15-17 zeigen einen Prozess zum Ausbilden der epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N. Die 15-17 zeigen Strukturelemente in einem Bereich 50A in 14A. Wie vorstehend beschrieben worden ist, enthält das Halbleitermaterial der Auskleidungsschichten 98A in dem n-Bereich 50N ein Halbleiterelement und ein Sperrelement, das dabei hilft, das Ausdiffundieren von Dotanden aus den Hauptschichten 98B bei der nachfolgenden Bearbeitung zu verringern.
  • In 15 werden die Auskleidungsschichten 98A in dem n-Bereich 50N epitaktisch auf die Oberflächen der Halbleiterstrukturelemente (z. B. Oberflächen der Finnen 62 und der zweiten Nanostrukturen 66) in den Source-/Drain-Aussparungen 92 aufgewachsen. Die Auskleidungsschichten 98A können von den zweiten Nanostrukturen 66 und den Finnen 62 aufgewachsen werden, indem die zweiten Nanostrukturen 66 und die Finnen 62 einem halbleiterhaltigen Vorläufer, einem sperrmaterialhaltigen Vorläufer und (optional) einem dotandhaltigen Vorläufer ausgesetzt werden. Wenn das Halbleiterelement Silizium ist, kann der halbleiterhaltige Vorläufer ein siliziumhaltiger Vorläufer, wie etwa ein Silan, wie zum Beispiel Monosilan (SiH4), Disilan (Si2H6), Trisilan (Si3H8), Trichlorsilan (HCl3Si), Dichlorsilan (H2SiCl2) oder dergleichen, sein. Wenn das Sperrelement Kohlenstoff ist, kann der sperrmaterialhaltige Vorläufer ein kohlenstoffhaltiger Vorläufer, wie etwa Trimethylsilan ((CH3)3SiH) oder dergleichen, sein. Wenn der Dotand Arsen ist, kann der dotandhaltige Vorläufer ein arsenhaltiger Vorläufer, wie etwa Arsenwasserstoff (AsH3) oder dergleichen, sein. Wenn der Dotand Phosphor ist, kann der dotandhaltige Vorläufer ein phosphorhaltiger Vorläufer, wie etwa Diphosphin (P2H6), Phosphortrichlorid (PCl3) oder dergleichen, sein. Bei einigen Ausführungsformen werden die zweiten Nanostrukturen 66 und die Finnen 62 dem halbleiterhaltigen Vorläufer, dem sperrmaterialhaltigen Vorläufer und dem dotandhaltigen Vorläufer (falls vorhanden) bei einer Temperatur in dem Bereich von 500 °C bis 800 °C, bei einem Druck in dem Bereich von 1 Torr bis 760 Torr und für eine Dauer in dem Bereich von 5 Sekunden bis 20 Minuten ausgesetzt. Durch das Aufwachsen der Auskleidungsschichten 98A bei einer Temperatur und bei einem Druck in diesen Bereichen können die Auskleidungsschichten 98A eine gewünschte Dicke und Sperrelement-Konzentration (vorstehend beschrieben) haben. Durch das Aufwachsen der Auskleidungsschichten 98A bei einer Temperatur und bei einem Druck außerhalb dieser Bereiche können die Auskleidungsschichten 98A möglicherweise nicht die gewünschte Dicke oder Sperrelement-Konzentration haben.
  • Bei dieser Ausführungsform werden die Teile der Auskleidungsschichten 98A in jeder Source-/Drain-Aussparung 92 so aufgewachsen, dass sie nach dem Aufwachsen getrennt bleiben und nicht verschmelzen. Das Aufwachsen der Auskleidungsschichten 98A wird insbesondere gestoppt, bevor die Auskleidungsschichten 98A in der Source-/Drain-Aussparung 92 verschmelzen. Teile der Seitenwände der inneren Abstandshalter 96 werden folglich nicht von den Auskleidungsschichten 98A bedeckt und verbleiben freiliegend, nachdem das Aufwachsen der Auskleidungsschichten 98A beendet ist. Bei einer anderen Ausführungsform (nachfolgend für die 26-32 beschrieben) werden die Auskleidungsschichten 98A aufgewachsen, bis sie in jeder Source-/Drain-Aussparung 92 verschmelzen, so dass die Seitenwände der inneren Abstandshalter 96 von den Auskleidungsschichten 98A bedeckt werden.
  • In 16 werden die Hauptschichten 98B in dem n-Bereich 50N epitaktisch auf die Auskleidungsschichten 98A aufgewachsen. Die Hauptschichten 98B können von den Auskleidungsschichten 98A aufgewachsen werden, indem die Auskleidungsschichten 98A einem halbleiterhaltigen Vorläufer und einem dotandhaltigen Vorläufer ausgesetzt werden. Wenn das Halbleiterelement Silizium ist, kann der halbleiterhaltige Vorläufer ein siliziumhaltiger Vorläufer, wie etwa ein Silan, wie zum Beispiel Monosilan (SiH4), Disilan (Si2H6), Trisilan (Si3H8), Trichlorsilan (HCl3Si), Dichlorsilan (H2SiCl2) oder dergleichen, sein. Wenn der Dotand Arsen ist, kann der dotandhaltige Vorläufer ein arsenhaltiger Vorläufer, wie etwa Arsenwasserstoff (AsH3) oder dergleichen, sein. Wenn der Dotand Phosphor ist, kann der dotandhaltige Vorläufer ein phosphorhaltiger Vorläufer, wie etwa Diphosphin (P2H6), Phosphortrichlorid (PCl3) oder dergleichen, sein. Der halbleiterhaltige Vorläufer und/oder der dotandhaltige Vorläufer, die verwendet werden, um die Hauptschichten 98B aufzuwachsen, können dieselben sein, die zum Aufwachsen der Auskleidungsschichten 98A verwendet werden, oder können andere Vorläufer umfassen. Bei einigen Ausführungsformen werden die Auskleidungsschichten 98A dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in dem Bereich von 400 °C bis 800 °C und bei einem Druck in dem Bereich von 1 Torr bis 760 Torr ausgesetzt.
  • Die Hauptschichten 98B in dem n-Bereich 50N werden von den Auskleidungsschichten 98A aufgewachsen, bis die Teile der Hauptschichten 98B in jeder Source-/Drain-Aussparung 92 verschmelzen. Bei dieser Ausführungsform bedecken die Hauptschichten 98B die Teile der Seitenwände der inneren Abstandshalter 96, die nicht von den Auskleidungsschichten 98A bedeckt werden. Bei einer anderen Ausführungsform (nachfolgend für die 26-32 beschrieben), bei der die Auskleidungsschichten 98A alle Seitenwände der inneren Abstandshalter 96 bedecken, werden die Hauptschichten 98B durch die Auskleidungsschichten 98A von den inneren Abstandshaltern 96 getrennt.
  • Die Breite der Source-/Drain-Aussparungen 92 und die Dicke T1 (vorstehend beschrieben) der Auskleidungsschichten 98A wird so gesteuert, dass die Auskleidungsschichten 98A auf gegenüberliegenden Seiten der epitaktischen Source-/Drain-Bereiche 98 durch einen gewünschten Abstand getrennt werden. Im Ergebnis kann die Breite W1 der Hauptschichten 98B zwischen gegenüberliegenden Auskleidungsschichten 98A gesteuert werden. Bei einigen Ausführungsformen ist die Breite W1 der Hauptschichten 98B zwischen gegenüberliegenden Auskleidungsschichten 98A in dem Bereich von 3 nm bis 50 nm.
  • In 17 werden die Deckschichten 98C in dem n-Bereich 50N epitaktisch auf die Hauptschichten 98B aufgewachsen. Die Deckschichten 98C können von den Hauptschichten 98B aufgewachsen werden, indem die Hauptschichten 98B einem halbleiterhaltigen Vorläufer und einem dotandhaltigen Vorläufer ausgesetzt werden. Wenn das Halbleiterelement Silizium ist, kann der halbleiterhaltige Vorläufer ein siliziumhaltiger Vorläufer, wie etwa Silan, wie z. B. Monosilan (SiH4), Disilan (Si2H6), Trisilan (Si3H8), Trichlorsilan (HCl3Si), Dichlorsilan (H2SiCl2) oder dergleichen, sein. Wenn der Dotand Arsen ist, kann der dotandhaltige Vorläufer ein arsenhaltiger Vorläufer, wie etwa Arsenwasserstoff oder dergleichen, sein. Wenn der Dotand Phosphor ist, kann der dotandhaltige Vorläufer ein phosphorhaltiger Vorläufer, wie etwa Diphosphin (P2H6), Phosphortrichlorid (PCl3) oder dergleichen, sein. Der halbleiterhaltige Vorläufer und/oder der dotandhaltige Vorläufer, die zum Aufwachsen der Deckschichten 98C verwendet werden, können dieselben wie jene sein, die zum Aufwachsen der Hauptschichten 98B und/oder der Auskleidungsschichten 98A verwendet werden, oder können verschiedene Vorläufer aufweisen. Bei einigen Ausführungsformen werden die Hauptschichten 98B dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in dem Bereich von 500 °C bis 800 °C und bei einem Druck in dem Bereich von 1 Torr bis 760 Torr ausgesetzt.
  • Einige Ausführungsformen ziehen andere Schichten der epitaktischen Source-/Drain-Bereiche 98, die ein Halbleitermaterial aufweisen, welches das Sperrelement enthält, in Betracht. Zum Beispiel kann das Halbleitermaterial der Hauptschichten 98B auch ein Verbindungshalbleiter sein, der das Halbleiterelement und das Sperrelement enthält. Bei einigen Ausführungsformen hat das Halbleitermaterial der Hauptschichten 98B eine Konzentration des Sperrelements (z. B. eine Kohlenstoffkonzentration) in dem Bereich von 0 at% bis 2 at%. Bei solchen Ausführungsformen kann ein kohlenstoffhaltiger Vorläufer, wie er z. B. vorstehend beschrieben worden ist, auch verwendet werden, um die Hauptschichten 98B aufzuwachsen. Mit anderen Worten, das Halbleitermaterial der Hauptschichten 98B kann eine Kohlenstoffkonzentration Null oder eine von Null verschiedene Kohlenstoffkonzentration haben. Die Auskleidungsschichten 98A haben eine größere Konzentration des Sperrelements als die Hauptschichten 98B.
  • Nachdem die epitaktischen Source-/Drain-Bereiche 98 ausgebildet worden sind, können die Auskleidungsschichten 98A eine Vielzahl von Profilarten aufweisen. Die Auskleidungsschichten 98A können konform aufgewachsen werden, so dass die Auskleidungsschichten 98A konforme Profile haben, wie in den 18A-18E gezeigt ist, die jeweils den 12A-12E entsprechen. Die Auskleidungsschichten 98A können entlang der <111>-Richtung aufgewachsen werden, so dass die Auskleidungsschichten 98A <111>-Facetten-Profile haben, wie in den 19A-19E gezeigt ist, die jeweils den 12A-12E entsprechen. Die Facettenarten der Auskleidungsschichten 98A können durch Steuerung der Strömungsgeschwindigkeiten der verschiedenen Vorläufer, die zum Aufwachsen der Auskleidungsschichten 98A verwendet werden, gesteuert werden.
  • In den 20A-20C wird ein erstes Zwischenschicht-Dielektrikum (ILD) (ILD: interlayer dielectric) 104 über den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 88 und den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 abgeschieden. Das erste ILD 104 kann aus einem dielektrischen Material ausgebildet werden, das mit einem geeigneten Verfahren, wie etwa CVD, plasmaunterstützte CVD (PECVD), FCVD oder dergleichen, abgeschieden werden kann. Akzeptable dielektrische Materialien können Phosphorsilicatglas (PSG), Borsilicatglas (BSG), mit Bor dotiertes Phosphorsilicatglas (BPSG), undotiertes Silicatglas (USG) oder dergleichen, aufweisen. Andere mit einem geeigneten Prozess ausgebildete Isoliermaterialien können verwendet werden.
  • Bei einigen Ausführungsformen wird eine Kontakt-Ätzstoppschicht (CESL) (CESL: contact etch stop layer) 102 zwischen dem ersten ILD 104 und den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 88 und den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 ausgebildet. Die CESL 102 kann aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen ausgebildet werden, das eine hohe Ätzselektivität gegenüber dem Ätzen des ersten ILD 104 hat. Die CESL 102 kann mit jedem geeigneten Verfahren, wie etwa CVD, ALD oder dergleichen, ausgebildet werden.
  • In den 21A-21C wird ein Entfernungsprozess durchgeführt, um die Oberseiten des ersten ILD 104 mit den Oberseiten der Gate-Abstandshalter 88 und der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 auf gleiche Höhe zu bringen. Bei einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP) (CMP: chemical mechanical polish), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Mit dem Planarisierungsprozess können auch die Masken 86 auf den Dummy-Gates 84 und Teile der Gate-Abstandshalter 88 entlang von Seitenwänden der Masken 86 entfernt werden. Nach dem Planarisierungsprozess sind die Oberseiten der Gate-Abstandshalter 88, des ersten ILD 104, der CESL 102 und der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 koplanar (innerhalb der Prozessschwankungen). Dementsprechend werden die Oberseiten der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 durch das erste ILD 104 freigelegt. Bei der gezeigten Ausführungsform verbleiben die Masken 86, und mit dem Planarisierungsprozess werden die Oberseiten des ersten ILD 104 mit den Oberseiten der Masken 86 auf gleiche Höhe gebracht.
  • In den 22A-22C werden die Masken 86 (falls vorhanden) und die Dummy-Gates 84 in einem Ätzprozess entfernt, so dass Aussparungen 106 ausgebildet werden. Teile der Dummy-Dielektrika 82 in den Aussparungen 106 werden ebenfalls entfernt. Bei einigen Ausführungsformen werden die Dummy-Gates 84 mit einem anisotropen Trockenätzprozess entfernt. Zum Beispiel kann der Ätzprozess einen Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase umfassen, mit dem die Dummy-Gates 84 selektiv mit einer höheren Geschwindigkeit als das erste ILD 104 oder die Gate-Abstandshalter 88 geätzt werden. Während der Entfernung können die Dummy-Dielektrika 82 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 84 geätzt werden. Die Dummy-Dielektrika 82 werden dann entfernt. Jede Aussparung 106 legt Teile der Kanalbereiche 68 frei und/oder befindet sich über Teilen der Kanalbereiche 68. Teile der zweiten Nanostrukturen 66, die als die Kanalbereiche 68 fungieren, sind zwischen benachbarten Paaren der epitaktischen Source-/Drain-Bereichen 98 angeordnet und grenzen an benachbarte Paare der epitaktischen Source-/Drain-Bereiche 98.
  • Die verbliebenen Teile der ersten Nanostrukturen 64 werden dann entfernt, um die Aussparungen 106 zu erweitern, sodass Öffnungen 108 zwischen den zweiten Nanostrukturen 66 gebildet werden. Die verbliebenen Teile der ersten Nanostrukturen 64 können mit jedem geeigneten Ätzprozess entfernt werden, mit dem das Material der ersten Nanostrukturen 64 selektiv mit einer höheren Geschwindigkeit als das Material der zweiten Nanostrukturen 66 geätzt wird. Der Ätzprozess kann isotrop sein. Wenn zum Beispiel die ersten Nanostrukturen 64 aus Silizium-Germanium ausgebildet werden und die zweiten Nanostrukturen 66 aus Silizium ausgebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. Bei einigen Ausführungsformen wird ein Trimmprozess (nicht separat dargestellt) durchgeführt, um die Dicken der freiliegenden Teile der zweiten Nanostrukturen 66 zu verringern.
  • In den 23A-23C werden Gate-Dielektrika 122 und Gate-Elektroden 124 für Ersatzgates ausgebildet. Jedes jeweilige Paar eines Gate-Dielektrikums 122 und einer Gate-Elektrode 124 kann kollektiv als eine „Gatestruktur“ bezeichnet werden. Jede Gatestruktur erstreckt sich entlang von Seitenwänden und einer Oberseite eines Kanalbereichs 68 einer zweiten Nanostruktur 66. Einige der Gatestrukturen erstrecken sich auch entlang von Seitenwänden und/oder einer Oberseite einer Finne 62. Die Gate-Dielektrika 122 weisen eine oder mehrere dielektrische Gateschichten auf, die um die zweiten Nanostrukturen 66 und auf den Seitenwänden der Gate-Abstandshalter 88 und der inneren Abstandshalter 96 angeordnet sind. Die Gate-Dielektrika 122 können aus einem Oxid, wie etwa Siliziumoxid oder einem Metalloxid, einem Silicat, wie etwa einem Metallsilicat, Kombinationen davon, mehreren Schichten davon oder dergleichen, ausgebildet werden. Zusätzlich oder alternativ können die Gate-Dielektrika 122 aus einem dielektrischen High-k-Material (z. B. dielektrische Materialien mit einem k-Wert größer als etwa 7,0), wie etwa einem Metalloxid oder einem Silicat von Hafnium, Aluminium, Zirconium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon, ausgebildet werden. Das eine oder die mehreren dielektrischen Materialien der Gate-Dielektrika 122 können mit Molekularstrahlabscheidung (MBD) (MBD: molecular-beam deposition), ALD, PECVD oder dergleichen ausgebildet werden. Obwohl einschichtige Gate-Dielektrika 122 dargestellt sind, können die Gate-Dielektrika 122 jede Anzahl von Grenzschichten und jede Anzahl von Hauptschichten aufweisen. Zum Beispiel können die Gate-Dielektrika 122 eine Grenzschicht und eine darüber befindliche dielektrische High-k-Schicht aufweisen.
  • Die Gate-Elektroden 124 weisen eine oder mehrere Gate-Elektrodenschichten auf, die über den Gate-Dielektrika 122 angeordnet sind. Die Gate-Elektroden 124 können aus einem metallhaltigen Material, wie etwa Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Wolfram, Cobalt, Ruthenium, Aluminium, Kombinationen davon, Mehrfachschichten davon oder dergleichen, ausgebildet werden. Obwohl einschichtige Gate-Elektroden 124 dargestellt sind, können die Gate-Elektroden 124 jede Anzahl von Austrittsarbeits-Einstellschichten, jede Anzahl von Klebstoffschichten und ein Füllmaterial aufweisen.
  • Zum Ausbilden der Gatestrukturen können zum Beispiel eine oder mehrere dielektrische Gateschichten in den Aussparungen 106 und den Öffnungen 108 abgeschieden werden. Die eine oder die mehreren dielektrischen Gateschichten können auch auf den Oberseiten des ersten ILD 104, der CESL 102 und der Gate-Abstandshalter 88 abgeschieden werden. Später können ein oder mehrere Gate-Elektrodenschichten auf der einen oder den mehreren dielektrischen Gateschichten und in den verbliebenen Teilen der Aussparungen 106 und der Öffnungen 108 abgeschieden werden. Ein Entfernungsprozess kann dann durchgeführt werden, um die überschüssigen Teile der einen oder der mehreren dielektrischen Gateschichten und der einen oder mehreren Gate-Elektrodenschichten entfernt werden, wobei die überschüssigen Teile über den Oberseiten des ersten ILD 104, der CESL 102 und der Gate-Abstandshalter 88 sind. Nach dem Entfernungsprozess sind Teile der einen oder der mehreren dielektrischen Gateschichten in den Aussparungen 106 und den Öffnungen 108 verblieben (bilden folglich die Gate-Dielektrika 122). Nach dem Entfernungsprozess sind Teile der einen oder der mehreren Gate-Elektrodenschichten in den Aussparungen 106 und den Öffnungen 108 verblieben (bilden folglich die Gate-Elektroden 124). Bei einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP) (CMP: chemical mechanical polish), ein Rückätzprozess, Kombinationen davon oder dergleichen, angewendet werden. Nach dem Planarisierungsprozess sind die Oberseiten der Gate-Abstandshalter 88, der CESL 102, des ersten ILD 104, der Gate-Dielektrika 122 und der Gate-Elektroden 124 koplanar (innerhalb der Prozessschwankungen).
  • Das Ausbilden der Gate-Dielektrika 122 in dem n-Bereich 50N und dem p-Bereich 50P kann gleichzeitig erfolgen, sodass die Gate-Dielektrika 122 in jedem Bereich aus ein und demselben oder mehreren derselben Materialien ausgebildet werden, und das Ausbilden der Gate-Elektroden 124 kann gleichzeitig erfolgen, sodass die Gate-Elektroden 124 in jedem Bereich aus ein und demselben oder mehreren derselben Materialien ausgebildet werden. Bei einigen Ausführungsformen können die Gate-Dielektrika 122 in jedem Bereich durch unterschiedliche Prozesse ausgebildet werden, sodass die Gate-Dielektrika 122 verschiedene Materialien aufweisen und/oder eine andere Anzahl von Schichten haben können, und/oder können die Gate-Elektroden 124 in jedem Bereich durch unterschiedliche Prozesse ausgebildet werden, sodass die Gate-Elektroden 124 verschiedene Materialien aufweisen und/oder eine andere Anzahl von Schichten haben können. Verschiedene Maskierungsschritte können verwendet werden, um die entsprechenden Bereiche zu maskieren und freizulegen, wenn unterschiedliche Prozesse verwendet werden.
  • In den 24A-24C wird ein zweites ILD 134 über den Gate-Abstandshaltern 88, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 ausgebildet. Bei einigen Ausführungsformen ist das zweite ILD 134 eine fließfähige Schicht, die mit einem fließfähigen CVD-Verfahren ausgebildet wird. Bei einigen Ausführungsformen wird das zweite ILD 134 aus einem dielektrischen Material, wie etwa PSG, BSG, BPSG, USG oder dergleichen, ausgebildet, das mit jedem geeigneten Verfahren, wie etwa CVD, PECVD oder dergleichen, abgeschieden werden kann.
  • Bei einigen Ausführungsformen wird eine Ätzstoppschicht (ESL) (ESL: etch stop layer) 132 zwischen dem zweite ILD 134 und den Gate-Abstandshaltern 88, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 ausgebildet. Die ESL 132 kann ein dielektrisches Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen, aufweisen, das gegenüber dem Ätzen des zweiten ILD 134 eine hohe Ätzselektivität hat.
  • In den 25A-25C werden die Gate-Kontakte 142 und Source-/Drain-Kontakte 144 ausgebildet, um jeweils die Gate-Elektroden 124 und die epitaktischen Source-/Drain-Bereiche 98 zu kontaktieren. Die Gate-Kontakte 142 sind physisch und elektrisch mit den Gate-Elektroden 124 verbunden. Die Source-/Drain-Kontakte 144 sind physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 98 verbunden.
  • Zum Ausbilden der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 werden zum Beispiel Öffnungen für die Gate-Kontakte 142 durch das zweite ILD 134 und die ESL 132 ausgebildet, und Öffnungen für die Source-/Drain-Kontakte 144 werden durch das zweite ILD 134, die ESL 132, das erste ILD 104 und die CESL 102 ausgebildet. Die Öffnungen können unter Verwendung geeigneter fotolithografischer und Ätzverfahren ausgebildet werden. In den Öffnungen werden eine Auskleidung (nicht separat dargestellt), wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material abgeschieden. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Cobalt, Aluminium, Nickel oder dergleichen sein. Zum Entfernen überschüssigen Materials von einer Oberfläche des zweiten ILD 134 kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden. Die verbliebene Auskleidung und das verbliebene leitfähige Material bilden die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 in den Öffnungen. Die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 können in unterschiedlichen Prozessen ausgebildet werden, oder sie können in dem gleichen Prozess ausgebildet werden. Die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 sind zwar als Kontakte dargestellt, die in denselben Querschnitten ausgebildet werden, aber es dürfte wohlverstanden sein, dass sie jeweils in unterschiedlichen Querschnitten ausgebildet werden können, sodass ein Kurzschließen der Kontakte verhindert wird.
  • Optional werden Metall-Halbleiter-Legierung-Bereiche 146 an den Grenzflächen zwischen den epitaktischen Source-/Drain-Bereichen 98 und den Source-/Drain-Kontakten 144 ausgebildet. Die Metall-Halbleiter-Legierung-Bereiche 146 können Silizid-Bereiche sein, die aus einem Metallsilizid (z. B. Titansilizid, Cobaltsilizid, Nickelsilizid, usw.) ausgebildet werden, es können Germanid-Bereiche sein, die aus einem Metallgermanid (z. B. Titangermanid, Cobaltgermanid, Nickelgermanid, usw.) ausgebildet werden, es können Siliziumgermanid-Bereiche sein, die sowohl aus einem Metallsilizid als auch einem Metallgermanid oder dergleichen, ausgebildet werden. Die Metall-Halbleiter-Legierung-Bereiche 146 können vor dem einen oder den mehreren Materialien der Source-/Drain-Kontakte 144 ausgebildet werden, indem ein Metall in den Öffnungen für die Source-/Drain-Kontakte 144 abgeschieden wird und dann ein thermischer Temperprozess durchgeführt wird. Bei einigen Ausführungsformen reagiert das Metall mit den Deckschichten 98C der epitaktischen Source-/Drain-Bereiche 98, sodass die Metall-Halbleiter-Legierung-Bereiche 146 sich durch die Deckschichten 98C erstrecken. Das Metall kann jedes Metall sein, das mit den Halbleitermaterialien (z. B. Silizium, Siliziumcarbid, Silizium-Germanium, Germanium, usw.) der epitaktischen Source-/Drain-Bereiche 98 reagieren kann, um eine niederohmige Metall-Halbleiter-Legierung zu bilden, wie etwa Nickel, Cobalt, Titan, Tantal, Platin, Wolfram, andere Edelmetalle, andere schwer schmelzende Metalle, Seltenerdmetalle oder ihre Legierungen. Das Metall kann mit einem Abscheidungsprozess, wie etwa ALD, CVD, PVD oder dergleichen, abgeschieden werden. Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie etwa eine Nassreinigung, durchgeführt werden, um restliches Metall aus den Öffnungen für die Source-/Drain-Kontakte 144 zu entfernen, wie etwa von Oberflächen der Metall-Halbleiter-Legierung-Bereiche 146. Das eine oder die mehreren Materialien der Source-/Drain-Kontakte 144 können dann auf den Metall-Halbleiter-Legierung-Bereichen 146 abgeschieden werden.
  • Die Breite der Source-/Drain-Kontakte 144 wird so gesteuert, dass die Breite W2 der Source-/Drain-Kontakte 144 kleiner als die Breite W1 (vorstehend beschrieben) der Hauptschichten 98B zwischen gegenüberliegenden Auskleidungsschichten 98A ist. Im Ergebnis werden die Source-/Drain-Kontakte 144 so ausgebildet, dass sie die Hauptschichten 98B der epitaktischen Source-/Drain-Bereiche 98 kontaktieren, und sie werden nicht so ausgebildet, dass sie die Auskleidungsschichten 98A der epitaktischen Source-/Drain-Bereiche 98 kontaktieren. Mit anderen Worten, die Source-/Drain-Kontakte 144 sind von den Auskleidungsschichten 98A beabstandet. Der Kontaktwiderstand zu den epitaktischen Source-/Drain-Bereichen 98 kann folglich verringert werden, wodurch die Leistung der Vorrichtung gesteigert wird. Bei einigen Ausführungsformen ist die Breite W2 der Source-/Drain-Kontakte 144 in dem Bereich von 3 nm bis 50 nm.
  • Danach können die Nano-FET-Vorrichtungen durch Metallisierungsschichten in einer darüber befindlichen Interconnect-Struktur miteinander verbunden werden, um integrierte Schaltkreise herzustellen. Die darüber befindliche Interconnect-Struktur kann in einem Back-End-of-Line-Prozess (BEOL-Prozess) ausgebildet werden, in dem die Metallisierungsschichten mit den Gate-Kontakten 142 und den Source-/Drain-Kontakten 144 verbunden werden. Bei einigen Vorrichtungsarten, wie etwa Speichervorrichtungen, können die Source-/Drain-Kontakte 144 zu Source-Bereichen der Vorrichtungen mit Masse verbunden werden. Weitere Strukturelemente, wie etwa passive Vorrichtungen, Speicher (z. B. magnetoresistive Direktzugriffsspeicher (MRAM) (MRAM: magnetoresistive random-access memory), resistive Direktzugriffsspeicher (RRAM) (RRAM: resistive random access memory), Phase-Change Random-Access Memory (PCRAM), usw.) oder dergleichen, können während des BEOL-Prozesses mit der Interconnect-Struktur integriert werden.
  • 26 ist eine Ansicht von Nano-FETs gemäß einigen anderen Ausführungsformen. Diese Ausführungsform ähnelt der für 25A beschriebenen Ausführungsform, außer dass die Auskleidungsschichten 98A aufgewachsen werden, bis sie in jeder Source-/Drain-Aussparung 92 verschmelzen (siehe 28), sodass die Seitenwände der inneren Abstandshalter 96 von den Auskleidungsschichten 98A bedeckt werden. Von daher erstrecken sich die Auskleidungsschichten 98A zusammenhängend entlang den Seitenwänden der zweiten Nanostrukturen 66 und der inneren Abstandshalter 96, und die Hauptschichten 98B werden durch die Auskleidungsschichten 98A von den inneren Abstandshaltern 96 getrennt.
  • Die Dicke T3 der Teile der Auskleidungsschichten 98A entlang den inneren Abstandshaltern 96 können kleiner als die Dicke T1 der Teile der Auskleidungsschichten 98A entlang den zweiten Nanostrukturen 66 und die Dicke T2 der Teile der Auskleidungsschichten 98A entlang den Finnen 62 sein. Bei einigen Ausführungsformen haben die Teile der Auskleidungsschichten 98A entlang den inneren Abstandshaltern 96 eine Dicke T3 in dem Bereich von 0 nm bis 8 nm. Das Ausbilden der Auskleidungsschichten 98A mit einer Dicke T3, die größer als 8 nm ist, kann bewirken, dass der Widerstand der epitaktischen Source-/Drain-Bereiche 98 übermäßig hoch ist, wodurch die Leistung der Vorrichtung herabgesetzt wird.
  • Die 27-31 sind Ansichten von Zwischenstufen bei der Fertigung von Nano-FETs gemäß einigen anderen Ausführungsformen. Die 27-31 zeigen insbesondere einen anderen Prozess zum Ausbilden der epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N. Die 27-31 zeigen Strukturelemente in einem Bereich 50A in 14A. Wie vorstehend beschrieben worden ist, enthält das Halbleitermaterial der Auskleidungsschichten 98A in dem n-Bereich 50N ein Halbleiterelement und ein Sperrelement, das dabei hilft, das Ausdiffundieren von Dotanden aus den Hauptschichten 98B bei der späteren Bearbeitung zu verringern.
  • In den 27-28 werden die Auskleidungsschichten 98A in dem n-Bereich 50N epitaktisch auf die Oberflächen der Halbleiter-Strukturelemente (z. B. Oberflächen der Finnen 62 und der zweiten Nanostrukturen 66) in den Source-/Drain-Aussparungen 92 aufgewachsen. Die Auskleidungsschichten 98A können mit einem ähnlichen Prozess aufgewachsen werden, wie dem der für 15 beschrieben worden ist, außer dass der epitaktische Aufwachsprozess für eine längere Dauer durchgeführt werden kann. Bei einigen Ausführungsformen werden die zweiten Nanostrukturen 66 und die Finnen 62 dem halbleiterhaltigen Vorläufer, dem sperrmaterialhaltigen Vorläufer und dem dotandhaltigen Vorläufer (falls vorhanden) für eine Dauer in dem Bereich von 5 Sekunden bis 20 Minuten ausgesetzt. Von daher werden die Auskleidungsschichten 98A anfänglich auf den Finnen 62 und den zweiten Nanostrukturen 66 in den Source-/Drain-Aussparungen 92 aufgewachsen (wie in 27 gezeigt ist), aber dann wird das Aufwachsen fortgesetzt, bis die Teile der Auskleidungsschichten 98A in jeder Source-/Drain-Aussparung 92 verschmelzen (wie in 28 gezeigt ist). Durch die Durchführung des epitaktischen Aufwachsprozesses für eine lange Dauer können die Teile der Auskleidungsschichten 98A in jeder Source-/Drain-Aussparung 92 verschmelzen, aber außerdem wird bewirkt, dass die Auskleidungsschichten 98A mit einer großen Dicke ausgebildet werden.
  • In 29 werden die Auskleidungsschichten 98A in dem n-Bereich 50N gedünnt, um ihre Dicke zu verringern. Die Auskleidungsschichten 98A können mit einer Trockenätzung unter Verwendung eines chlorhaltigen Ätzmittels, wie etwa Chlorwasserstoff(HCl)-Gas, Chlor(Cl2)-Gas oder dergleichen, gedünnt werden. Der Ätzprozess kann anisotrop sein. Nachdem die Auskleidungsschichten 98A gedünnt worden sind, haben sie die Dicken T1, T2, T3 (die vorstehend beschrieben worden sind).
  • In 30 werden die Hauptschichten 98B in dem n-Bereich 50N epitaktisch auf die Auskleidungsschichten 98A aufgewachsen. Die Hauptschichten 98B können mit einem ähnlichen Prozess, wie dem der für 16 beschrieben worden ist, aufgewachsen werden.
  • In 31 werden die Deckschichten 98C in dem n-Bereich 50N epitaktisch auf die Hauptschichten 98B aufgewachsen. Die Deckschichten 98C können mit einem ähnlichen Prozess, wie dem der für 17 beschrieben worden ist, aufgewachsen werden.
  • Die 32A-32C sind Ansichten von Nano-FETs gemäß einigen anderen Ausführungsformen. Diese Ausführungsform ähnelt der für die 25A-25C beschriebenen Ausführungsform, außer dass die Abstandshalterschicht 94 strukturiert ist (siehe 11A-11C), sodass untere Abstandshalter 152 auch auf den Unterseiten der Source-/Drain-Aussparungen 92 ausgebildet werden (siehe 11A-11C). Von daher trennen die unteren Abstandshalter 152 die Unterseiten der epitaktischen Source-/Drain-Bereiche 98 von den Finnen 62. Das Ausbilden der unteren Abstandshalter entlang den Unterseiten der epitaktischen Source-/Drain-Bereiche 98 hilft dabei, Leckverluste aus den epitaktischen Source-/Drain-Bereichen 98 zu dem Substrat 50 zu verringern. Bei einigen Ausführungsformen haben die unteren Abstandshalter 152 entlang den Unterseiten der epitaktischen Source-/Drain-Bereiche 98 eine Dicke T4 in dem Bereich von 1 nm bis 30 nm. Das Ausbilden der unteren Anstandshalter 152 entlang den Unterseiten der epitaktischen Source-/Drain-Bereiche 98 mit einer Dicke T4, die kleiner als 1 nm ist, kann Leckverluste aus den epitaktischen Source-/Drain-Bereichen 98 möglicherweise nicht ausreichend verringern. Das Ausbilden der unteren Anstandshalter 152 entlang den Unterseiten der epitaktischen Source-/Drain-Bereiche 98 mit einer Dicke T4, die größer als 30 nm ist, kann bewirken, dass der Widerstand der epitaktischen Source-/Drain-Bereiche 98 übermäßig hoch ist, wodurch die Leistung der Vorrichtung herabgesetzt wird.
  • 33 ist eine Ansicht von Nano-FETs gemäß einigen anderen Ausführungsformen. Diese Ausführungsform ähnelt der für 26 beschriebenen Ausführungsform, außer dass die Abstandshalterschicht 94 strukturiert wird (siehe 11A-11C), sodass untere Abstandshalter 152 auch auf den Unterseiten der Source-/Drain-Aussparungen 92 (siehe 11A-11C) ausgebildet werden, ähnlich wie für die 32A-32C beschrieben worden ist.
  • Die 34A-34C sind Ansichten von Nano-FETs gemäß einigen anderen Ausführungsformen. Diese Ausführungsform ähnelt der für die 25A-25C beschriebenen Ausführungsform, außer dass die Auskleidungsschichten 98A auf den Finnen 62 mit einer größeren Dicke als andere Auskleidungsschichten 98A ausgebildet werden. Insbesondere die Auskleidungsschichten 98AF, die von den Finnen 62 aufgewachsen werden, sind dicker als die Auskleidungsschichten 98AN, die von den zweiten Nanostrukturen 66 aufgewachsen werden. Das Aufwachsen der Auskleidungsschichten 98AF auf den Finnen 62 mit einer größeren Dicke hilft dabei, Leckverluste aus den epitaktischen Source-/Drain-Bereichen 98 zu dem Substrat 50 zu verringern. Die Auskleidungsschichten 98AF werden so aufgewachsen, dass die Oberseiten der Auskleidungsschichten 98AF oberhalb der Oberseiten der Finnen 62 und unterhalb der Unterseiten der zweiten Nanostrukturen 66 angeordnet sind. Bei einigen Ausführungsformen ist der Abstand D1 zwischen den Oberseiten der Auskleidungsschichten 98AF und den Unterseiten der zweiten Nanostrukturen 66 kleiner als 3 nm. Das Ausbilden der Auskleidungsschichten 98AF mit einem Abstand D1 größer als 3 nm kann bewirken, dass der Widerstand der epitaktischen Source-/Drain-Bereiche 98 übermäßig hoch ist, wodurch die Leistung der Vorrichtung herabgesetzt wird.
  • Die Auskleidungsschichten 98AF können mit einer größeren Dicke als die Auskleidungsschichten 98AN aufgewachsen werden, indem die Auskleidungsschichten 98A mit einem epitaktischen Aufwachsprozess aufgewachsen werden, der eine große Aufwachsrate von unten nach oben hat. Bei einigen Ausführungsformen wird das epitaktische Aufwachsen von unten nach oben unter Verwendung eines chlorhaltigen Vorläufers während des Aufwachsens der Auskleidungsschichten 98A durchgeführt (wie für 15 beschrieben). Der chlorhaltige Vorläufer kann Chlor(Cl2)-Gas, Chlorwasserstoff (HCl) oder dergleichen sein. Zusätzlich oder alternativ kann das epitaktische Aufwachsen von unten nach oben durchgeführt werden, indem Auskleidungsschichten 98A bei einer hohen Temperatur, wie etwa einer Temperatur in dem Bereich von 600 °C bis 800 °C aufgewachsen werden. Das epitaktische Aufwachsen wird durchgeführt, bis die Oberseiten der Auskleidungsschichten 98AF mit einem gewünschten Abstand D1 unterhalb der Unterseiten der zweiten Nanostrukturen 66 angeordnet sind.
  • Ausführungsformen können Vorteile erzielen. Das Ausbilden der Auskleidungsschichten 98A in dem n-Bereich 50N aus einem Halbleitermaterial, das ein Sperrelement enthält, hilft dabei, das Ausdiffundieren von Dotanden aus den Hauptschichten 98B in den Kanalbereich 68 bei der nachfolgenden Bearbeitung zu verringern. In einem Experiment, bei dem der n-Dotand Arsen war, wurde die Dotierungskonzentration 8 nm von den Seitenwänden der zweiten Nanostrukturen 66 entfernt für die Kanalbereiche 68 bei einer Zugverspannung von 0 % bis 2 % um bis zu 66 % verringert. In einem anderen Experiment, bei dem der n-Dotand Phosphor war, wurde die Dotierungskonzentration 8 nm unterhalb der Seitenwände der zweiten Nanostrukturen 66 um bis zu 80 % verringert. Bei einigen Ausführungsformen war die Dotierungskonzentration in den Kanalbereichen 68 nur 5×1017 cm-3. Durch Verringern der Diffusion von Dotanden in die Kanalbereiche 68 kann die Kanalmobilität vergrößert werden. Dadurch kann die Leistung der Vorrichtung gesteigert werden.
  • Bei einer Ausführungsform weist die Vorrichtung Folgendes auf: eine erste Nanostruktur; einen Source-/Drain-Bereich, der an einen ersten Kanalbereich der ersten Nanostruktur angrenzt, wobei der Source-/Drain-Bereich Folgendes aufweist: eine Hauptschicht; und eine erste Auskleidungsschicht zwischen der Hauptschicht und der ersten Nanostruktur, wobei eine Kohlenstoffkonzentration der ersten Auskleidungsschicht größer als eine Kohlenstoffkonzentration der Hauptschicht ist; ein Zwischenschicht-Dielektrikum auf dem Source-/Drain-Bereich; und einen Kontakt, der sich durch das Zwischenschicht-Dielektrikum erstreckt, wobei der Kontakt mit der Hauptschicht verbunden ist und der Kontakt von der ersten Auskleidungsschicht beabstandet ist. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt, wobei der Source-/Drain-Bereich weiterhin Folgendes aufweist: eine zweite Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur, wobei die Hauptschicht eine erste Breite zwischen der ersten Auskleidungsschicht und der zweiten Auskleidungsschicht hat und der Kontakt eine zweite Breite hat, wobei die zweite Breite kleiner als die erste Breite ist. Bei einigen Ausführungsformen der Vorrichtung weist der Source-/Drain-Bereich weiterhin Folgendes auf: eine Deckschicht auf der Hauptschicht, wobei die Kohlenstoffkonzentration der ersten Auskleidungsschicht größer als eine Kohlenstoffkonzentration der Deckschicht ist. Bei einigen Ausführungsformen der Vorrichtung ist die Kohlenstoffkonzentration der ersten Auskleidungsschicht in einem Bereich von 0,1 at% bis 2 at%, und die Kohlenstoffkonzentration der Hauptschicht ist Null. Bei einigen Ausführungsformen der Vorrichtung ist die Kohlenstoffkonzentration der ersten Auskleidungsschicht in einem Bereich von 0,1 at% bis 2 at%, und die Kohlenstoffkonzentration der Hauptschicht ist in einem Bereich von 0 at% bis 2 at%. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt und die erste Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur angeordnet ist; eine Gatestruktur, die den ersten Kanalbereich der ersten Nanostruktur und den zweiten Kanalbereich der zweiten Nanostruktur umschließt; und einen Abstandshalter zwischen der Gatestruktur und dem Source-/Drain-Bereich, wobei die erste Auskleidungsschicht zwischen der Hauptschicht und dem Abstandshalter angeordnet ist. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt; eine Gatestruktur, die den ersten Kanalbereich der ersten Nanostruktur und den zweiten Kanalbereich der zweiten Nanostruktur umschließt; und einen Abstandshalter zwischen der Gatestruktur und dem Source-/Drain-Bereich, wobei die Hauptschicht den Abstandshalter kontaktiert, wobei der Source-/Drain-Bereich weiterhin Folgendes aufweist: eine zweite Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur, wobei die zweite Auskleidungsschicht von der ersten Auskleidungsschicht getrennt ist.
  • Bei einer Ausführungsform weist die Vorrichtung Folgendes auf: eine Nanostruktur; und einen Source-/Drain-Bereich, der an einen Kanalbereich der Nanostruktur angrenzt, wobei der Source-/Drain-Bereich Folgendes aufweist: eine erste epitaktische Schicht auf einer Seitenwand der Nanostruktur, wobei die erste epitaktische Schicht ein kohlenstoffhaltiges Halbleitermaterial und einen n-Dotanden aufweist; eine zweite epitaktische Schicht auf der ersten epitaktischen Schicht, wobei die zweite epitaktische Schicht ein kohlenstofffreies Halbleitermaterial und den n-Dotanden aufweist; und eine dritte epitaktische Schicht auf der zweiten epitaktischen Schicht, wobei die dritte epitaktische Schicht das kohlenstofffreie Halbleitermaterial und den n-Dotanden aufweist. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: ein Zwischenschicht-Dielektrikum auf dem Source-/Drain-Bereich; und einen Kontakt, der sich so durch das Zwischenschicht-Dielektrikum erstreckt, dass er die zweite epitaktische Schicht des Source-/Drain-Bereichs kontaktiert, wobei der Kontakt von der ersten epitaktischen Schicht des Source-/Drain-Bereichs beabstandet ist. Bei einigen Ausführungsformen der Vorrichtung ist das kohlenstoffhaltige Halbleitermaterial Siliziumcarbid, das kohlenstofffreie Halbleitermaterial ist Silizium und der n-Dotand ist Phosphor oder Arsen. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: eine Finne, die sich von einem Substrat erstreckt, wobei die erste epitaktische Schicht des Source-/Drain-Bereichs auf einer Oberseite der Finne angeordnet ist. Bei einigen Ausführungsformen weist die Vorrichtung weiterhin Folgendes auf: eine Finne, die sich von einem Substrat erstreckt; und einen Abstandshalter zwischen der Finne und der ersten epitaktischen Schicht des Source-/Drain-Bereichs.
  • Bei einer Ausführungsform umfasst ein Verfahren folgende Schritte: Ätzen einer Source-/Drain-Aussparung in eine Nanostruktur, wobei eine Seitenwand der Nanostruktur zu der Source-/Drain-Aussparung freiliegt; Aufwachsen einer ersten epitaktischen Schicht in der Source-/Drain-Aussparung, indem die Seitenwand der Nanostruktur einem halbleiterhaltigen Vorläufer, einem sperrmaterialhaltigen Vorläufer und einem dotandhaltigen Vorläufer ausgesetzt wird; Aufwachsen einer zweiten epitaktischen Schicht in der Source-/Drain-Aussparung, indem die erste epitaktische Schicht dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer ausgesetzt wird, wobei die erste epitaktische Schicht beim Aufwachsen der zweiten epitaktischen Schicht nicht dem sperrmaterialhaltigen Vorläufer ausgesetzt wird; Abscheiden einer dielektrischen Schicht auf der zweiten epitaktischen Schicht; und Ausbilden eines Kontakts durch die dielektrische Schicht, wobei der Kontakt mit der zweiten epitaktischen Schicht verbunden wird und der Kontakt von der ersten epitaktischen Schicht beabstandet ist. Bei einigen Ausführungsformen des Verfahrens ist der halbleiterhaltige Vorläufer ein Silan und der sperrmaterialhaltige Vorläufer ist Trimethylsilan. Bei einigen Ausführungsformen des Verfahrens wird die Seitenwand der Nanostruktur dem halbleiterhaltigen Vorläufer, dem sperrmaterialhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in einem Bereich von 600 °C bis 700 °C und bei einem Druck in einem Bereich von 1 Torr bis 760 Torr ausgesetzt. Bei einigen Ausführungsformen des Verfahrens wird die erste epitaktische Schicht dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in einem Bereich von 400 °C bis 800 °C und bei einem Druck in einem Bereich von 1 Torr bis 760 Torr ausgesetzt. Bei einigen Ausführungsformen des Verfahrens steht die Nanostruktur nach dem Ätzen der Source-/Drain-Aussparung unter einer Zugspannung, und der dotandhaltige Vorläufer ist ein arsenhaltiger Vorläufer oder ein phosphorhaltiger Vorläufer. Bei einigen Ausführungsformen des Verfahrens wird die Seitenwand der Nanostruktur beim Aufwachsen der ersten epitaktischen Schicht ferner einem chlorhaltigen Vorläufer ausgesetzt. Bei einigen Ausführungsformen des Verfahrens ist die Nanostruktur über einem Abstandshalter angeordnet, eine Seitenwand des Abstandshalters liegt zu der Source-/Drain-Aussparung frei, und das Aufwachsen der ersten epitaktischen Schicht wird gestoppt, bevor die erste epitaktische Schicht die Seitenwand des Abstandshalters bedeckt. Bei einigen Ausführungsformen des Verfahrens ist die Nanostruktur über einem Abstandshalter angeordnet, eine Seitenwand des Abstandshalters liegt zu der Source-/Drain-Aussparung frei, und das Aufwachsen der ersten epitaktischen Schicht wird durchgeführt, bis die erste epitaktische Schicht die Seitenwand des Abstandshalters bedeckt.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann dürfte klar sein, dass er die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden kann. Der Fachmann dürfte ebenfalls erkennen, dass solche äquivalenten Ausgestaltungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abweichen und dass er hierbei verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen kann, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/188134 [0001]

Claims (20)

  1. Vorrichtung, die Folgendes aufweist: eine erste Nanostruktur; einen Source-/Drain-Bereich, der an einen ersten Kanalbereich der ersten Nanostruktur angrenzt, wobei der Source-/Drain-Bereich Folgendes aufweist: eine Hauptschicht; und eine erste Auskleidungsschicht zwischen der Hauptschicht und der ersten Nanostruktur, wobei eine Kohlenstoffkonzentration der ersten Auskleidungsschicht größer als eine Kohlenstoffkonzentration der Hauptschicht ist; ein Zwischenschicht-Dielektrikum auf dem Source-/Drain-Bereich; und einen Kontakt, der sich durch das Zwischenschicht-Dielektrikum erstreckt, wobei der Kontakt mit der Hauptschicht verbunden ist und der Kontakt von der ersten Auskleidungsschicht beabstandet ist.
  2. Vorrichtung nach Anspruch 1, die weiterhin Folgendes aufweist: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt, wobei der Source-/Drain-Bereich weiterhin Folgendes aufweist: eine zweite Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur, wobei die Hauptschicht eine erste Breite zwischen der ersten Auskleidungsschicht und der zweiten Auskleidungsschicht hat und der Kontakt eine zweite Breite hat, wobei die zweite Breite kleiner als die erste Breite ist.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei der Source-/Drain-Bereich weiterhin Folgendes aufweist: eine Deckschicht auf der Hauptschicht, wobei die Kohlenstoffkonzentration der ersten Auskleidungsschicht größer als eine Kohlenstoffkonzentration der Deckschicht ist.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Kohlenstoffkonzentration der ersten Auskleidungsschicht in einem Bereich von 0,1 at% bis 2 at% ist, und die Kohlenstoffkonzentration der Hauptschicht Null ist.
  5. Vorrichtung nach einem der Ansprüche 1 bis 3, wobei die Kohlenstoffkonzentration der ersten Auskleidungsschicht in einem Bereich von 0,1 at% bis 2 at% ist, und die Kohlenstoffkonzentration der Hauptschicht in einem Bereich von 0 at% bis 2 at% ist.
  6. Vorrichtung nach einem der Ansprüche 1 oder 3 bis 5, die weiterhin Folgendes aufweist: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt und wobei die erste Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur angeordnet ist; eine Gatestruktur, die den ersten Kanalbereich der ersten Nanostruktur und den zweiten Kanalbereich der zweiten Nanostruktur umschließt; und einen Abstandshalter zwischen der Gatestruktur und dem Source-/Drain-Bereich, wobei die erste Auskleidungsschicht zwischen der Hauptschicht und dem Abstandshalter angeordnet ist.
  7. Vorrichtung nach einem der Ansprüche 1 oder 3 bis 5, die weiterhin Folgendes aufweist: eine zweite Nanostruktur, wobei der Source-/Drain-Bereich an einen zweiten Kanalbereich der zweiten Nanostruktur angrenzt; eine Gatestruktur, die den ersten Kanalbereich der ersten Nanostruktur und den zweiten Kanalbereich der zweiten Nanostruktur umschließt; und einen Abstandshalter zwischen der Gatestruktur und dem Source-/Drain-Bereich, wobei die Hauptschicht den Abstandshalter kontaktiert, wobei der Source-/Drain-Bereich weiterhin Folgendes aufweist: eine zweite Auskleidungsschicht zwischen der Hauptschicht und der zweiten Nanostruktur, wobei die zweite Auskleidungsschicht von der ersten Auskleidungsschicht getrennt ist.
  8. Vorrichtung, die Folgendes aufweist: eine Nanostruktur; und einen Source-/Drain-Bereich, der an einen Kanalbereich der Nanostruktur angrenzt, wobei der Source-/Drain-Bereich Folgendes aufweist: eine erste epitaktische Schicht auf einer Seitenwand der Nanostruktur, wobei die erste epitaktische Schicht ein kohlenstoffhaltiges Halbleitermaterial und einen n-Dotanden aufweist; eine zweite epitaktische Schicht auf der ersten epitaktischen Schicht, wobei die zweite epitaktische Schicht ein kohlenstofffreies Halbleitermaterial und den n-Dotanden aufweist; und eine dritte epitaktische Schicht auf der zweiten epitaktischen Schicht, wobei die dritte epitaktische Schicht das kohlenstofffreie Halbleitermaterial und den n-Dotanden aufweist.
  9. Vorrichtung nach Anspruch 8, die weiterhin Folgendes aufweist: ein Zwischenschicht-Dielektrikum auf dem Source-/Drain-Bereich; und einen Kontakt, der sich so durch das Zwischenschicht-Dielektrikum erstreckt, dass er die zweite epitaktische Schicht des Source-/Drain-Bereichs kontaktiert, wobei der Kontakt von der ersten epitaktischen Schicht des Source-/Drain-Bereichs beabstandet ist.
  10. Vorrichtung nach Anspruch 8 oder 9, wobei das kohlenstoffhaltige Halbleitermaterial Siliziumcarbid ist, das kohlenstofffreie Halbleitermaterial Silizium ist und der n-Dotand Phosphor oder Arsen ist.
  11. Vorrichtung nach einem der Ansprüche 8 bis 10, die weiterhin Folgendes aufweist: eine Finne, die sich von einem Substrat erstreckt, wobei die erste epitaktische Schicht des Source-/Drain-Bereichs auf einer Oberseite der Finne angeordnet ist.
  12. Vorrichtung nach einem der Ansprüche 8 bis 10 die weiterhin Folgendes aufweist: eine Finne, die sich von einem Substrat erstreckt; und ein Abstandshalter zwischen der Finne und der ersten epitaktischen Schicht des Source-/Drain-Bereichs.
  13. Verfahren mit den folgenden Schritten: Ätzen einer Source-/Drain-Aussparung in eine Nanostruktur, wobei eine Seitenwand der Nanostruktur zu der Source-/Drain-Aussparung freiliegt; Aufwachsen einer ersten epitaktischen Schicht in der Source-/Drain-Aussparung, indem die Seitenwand der Nanostruktur einem halbleiterhaltigen Vorläufer, einem sperrmaterialhaltigen Vorläufer und einem dotandhaltigen Vorläufer ausgesetzt wird; Aufwachsen einer zweiten epitaktischen Schicht in der Source-/Drain-Aussparung, indem die erste epitaktische Schicht dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer ausgesetzt wird, wobei die erste epitaktische Schicht beim Aufwachsen der zweiten epitaktischen Schicht nicht dem sperrmaterialhaltigen Vorläufer ausgesetzt wird; Abscheiden einer dielektrischen Schicht auf der zweiten epitaktischen Schicht; und Ausbilden eines Kontakts durch die dielektrische Schicht, wobei der Kontakt mit der zweiten epitaktischen Schicht verbunden wird und der Kontakt von der ersten epitaktischen Schicht beabstandet ist.
  14. Verfahren nach Anspruch 13, wobei der halbleiterhaltige Vorläufer ein Silan ist und der sperrmaterialhaltige Vorläufer Trimethylsilan ist.
  15. Verfahren nach Anspruch 13 oder 14, wobei die Seitenwand der Nanostruktur dem halbleiterhaltigen Vorläufer, dem sperrmaterialhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in einem Bereich von 600 °C bis 700 °C und bei einem Druck in einem Bereich von 1 Torr bis 760 Torr ausgesetzt wird.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei die erste epitaktische Schicht dem halbleiterhaltigen Vorläufer und dem dotandhaltigen Vorläufer bei einer Temperatur in einem Bereich von 400 °C bis 800 °C und bei einem Druck in einem Bereich von 1 Torr bis 760 Torr ausgesetzt wird.
  17. Verfahren nach einem der Ansprüche 13 bis 16, wobei die Nanostruktur nach dem Ätzen der Source-/Drain-Aussparung unter einer Zugspannung steht, und der dotandhaltige Vorläufer ein arsenhaltiger Vorläufer oder ein phosphorhaltiger Vorläufer ist.
  18. Verfahren nach einem der Ansprüche 13 bis 17, wobei die Seitenwand der Nanostruktur beim Aufwachsen der ersten epitaktischen Schicht ferner einem chlorhaltigen Vorläufer ausgesetzt wird.
  19. Verfahren nach einem der Ansprüche 13 bis 18, wobei die Nanostruktur über einem Abstandshalter angeordnet ist, eine Seitenwand des Abstandshalters zu der Source-/Drain-Aussparung freiliegt, und das Aufwachsen der ersten epitaktischen Schicht gestoppt wird, bevor die erste epitaktische Schicht die Seitenwand des Abstandshalters bedeckt.
  20. Verfahren nach einem der Ansprüche 13 bis 18, wobei die Nanostruktur über einem Abstandshalter angeordnet ist, eine Seitenwand des Abstandshalters zu der Source-/Drain-Aussparung freiliegt, und das Aufwachsen der ersten epitaktischen Schicht durchgeführt wird, bis die erste epitaktische Schicht die Seitenwand des Abstandshalters bedeckt.
DE102022100442.2A 2021-05-13 2022-01-11 Transistor-source-/drain-bereiche und verfahren zu deren herstellung Pending DE102022100442A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163188134P 2021-05-13 2021-05-13
US63/188,134 2021-05-13
US17/565,716 US20220367625A1 (en) 2021-05-13 2021-12-30 Transistor Source/Drain Regions and Methods of Forming the Same
US17/565,716 2021-12-30

Publications (1)

Publication Number Publication Date
DE102022100442A1 true DE102022100442A1 (de) 2022-11-17

Family

ID=83325467

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100442.2A Pending DE102022100442A1 (de) 2021-05-13 2022-01-11 Transistor-source-/drain-bereiche und verfahren zu deren herstellung

Country Status (4)

Country Link
US (1) US20220367625A1 (de)
KR (1) KR20220154603A (de)
CN (1) CN115116954A (de)
DE (1) DE102022100442A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Also Published As

Publication number Publication date
TW202245255A (zh) 2022-11-16
CN115116954A (zh) 2022-09-27
KR20220154603A (ko) 2022-11-22
US20220367625A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102020115611A1 (de) Halbleitervorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102019116036A1 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021101001A1 (de) Halbleitervorrichtung und verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102022100442A1 (de) Transistor-source-/drain-bereiche und verfahren zu deren herstellung
DE102023101119A1 (de) Transistor-source/drain-bereiche und verfahren zu deren erzeugung
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102021108858A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102021113537A1 (de) Transistor-gate-kontakte und verfahren zu deren bildung
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102020128875A1 (de) Transistor-gate-struktur und verfahren zu deren herstellung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021100990A1 (de) Halbleitervorrichtung und verfahren
DE102021120222B4 (de) Halbleiter-gates und verfahren zu deren herstellung
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed