DE102022100025A1 - Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung - Google Patents

Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung Download PDF

Info

Publication number
DE102022100025A1
DE102022100025A1 DE102022100025.7A DE102022100025A DE102022100025A1 DE 102022100025 A1 DE102022100025 A1 DE 102022100025A1 DE 102022100025 A DE102022100025 A DE 102022100025A DE 102022100025 A1 DE102022100025 A1 DE 102022100025A1
Authority
DE
Germany
Prior art keywords
conductive material
conductive
layer
region
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100025.7A
Other languages
English (en)
Inventor
Bo-Yu Lai
Chin-Szu Lee
Szu-Hua Wu
Shuen-Shin Liang
Chia-Hung Chu
Keng-Chu Lin
Sung-Li Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022100025A1 publication Critical patent/DE102022100025A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren umfasst Folgendes: Bilden einer Vorrichtungsregion über einem Substrat; Bilden einer ersten dielektrischen Schicht über der Vorrichtungsregion; Bilden einer Öffnung in der ersten dielektrischen Schicht; konformes Abscheiden eines ersten leitfähigen Materials entlang von Seitenwänden und Bodenflächen der Öffnung; Abscheiden eines zweiten leitfähigen Materials auf dem ersten leitfähigen Material, um die Öffnung zu füllen, wobei sich das zweite leitfähige Material von dem ersten leitfähigen Material unterscheidet; und Durchführen eines ersten thermischen Prozesses, um eine Grenzflächenregion zu bilden, die sich von einer ersten Region des ersten leitfähigen Materials zu einer zweiten Region des zweiten leitfähigen Materials erstreckt, wobei die Grenzflächenregion ein homogenes Gemisch aus dem ersten leitfähigen Material und dem zweiten leitfähigen Material aufweist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der am 26. Februar 2021 eingereichten vorläufigen US-Anmeldung Nr. 63/154,019 , die hiermit durch Bezugnahme in vollem Umfang in den vorliegenden Text aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen eingesetzt, wie zum Beispiel in Personalcomputern, Handys, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden in der Regel gefertigt, indem isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten aus Material über einem Halbleitersubstrat nacheinander abgeschieden werden und die verschiedenen Materialschichten mittels Lithographie strukturiert werden, um Schaltungskomponenten und Elemente darauf zu bilden.
  • Die Halbleiterindustrie verbessert weiterhin die Integrationsdichte verschiedener elektronischer Komponenten (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch kontinuierliche Reduzierungen der kleinstmöglichen Strukturelementgröße, wodurch mehr Komponenten auf einer bestimmten Fläche integriert werden können.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Strukturelemente können vielmehr im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen.
    • 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 14C, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A, 21B, 22A, 22B, 23A, 23B, 24A, 24B, 25A und 25B sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
    • 26A, 26B, 26C, 26D, 26E und 26F sind Querschnittsansichten von Zwischenstufen bei der Herstellung leitfähiger Strukturelemente gemäß einigen Ausführungsformen.
    • 27A, 27B und 27C sind Querschnittsansichten leitfähiger Strukturelemente gemäß einigen Ausführungsformen.
    • 28A, 28B, 28C und 28D sind Querschnittsansichten von Zwischenstufen bei der Herstellung leitfähiger Strukturelemente mit einer Kappschicht gemäß einigen Ausführungsformen.
    • 29A, 29B, 29C und 29D sind Querschnittsansichten leitfähiger Strukturelemente gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann das Bilden eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Strukturelement in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Strukturelemente zwischen dem ersten und dem zweiten Strukturelement gebildet sein können, so dass das erste und das zweite Strukturelement nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Verschiedene im vorliegenden Text beschriebene Ausführungsformen erlauben die Bildung widerstandsarmer leitfähiger Strukturelemente, wie zum Beispiel Durchkontaktierungen, Leitungen oder dergleichen. Die im vorliegenden Text beschriebenen Ausführungsformen erlauben das Bilden leitfähiger Strukturelemente unter Verwendung einer Auskleidungsschicht, die ein erstes leitfähiges Material umfasst, und einer Füllstoffschicht, die ein zweites leitfähiges Material umfasst, das in der Lage ist, ein homogenes Gemisch mit dem ersten leitfähigen Material zu bilden. Ein thermischer Prozess, wie zum Beispiel ein Tempern, kann durchgeführt werden, um eine glattere Grenzfläche zwischen der Auskleidungsschicht und der Füllstoffschicht zu bilden, was den Widerstand der leitfähigen Strukturelemente verringern kann. Das Bilden leitfähiger Strukturelemente auf diese Weise kann das Biegen aufgrund von Nahtverschmelzung oder thermischer Ausdehnung verringern, was die Produktionsausbeute und die Zuverlässigkeit verbessern kann. Eine Kappschicht kann gebildet werden, um die Kontaktfläche der leitfähigen Strukturelemente zu vergrößern, was den Kontaktwiderstand verringern kann. Die im vorliegenden Text beschriebenen Techniken können leitfähige Strukturelemente als Teil eines Middle-End-of-Line-Prozesses (MEOL-Prozesses) und/oder eines Back-End-of-Line-Prozesses (BEOL-Prozesses) bilden.
  • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen. Der FinFET umfasst eine Finne 52 auf einem Substrat 50 (zum Beispiel einem Halbleitersubstrat). Isolationsregionen 56 sind in dem Substrat 50 angeordnet, und die Finne 52 ragt über und zwischen benachbarten Isolationsregionen 56 heraus. Obgleich die Isolationsregionen 56 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann der Begriff „Substrat“ im Sinne des vorliegenden Textes so verwendet werden, dass er sich entweder nur auf das Halbleitersubstrat oder auf ein Halbleitersubstrat einschließlich der Isolationsregionen bezieht. Obgleich die Finne 52 als ein einzelnes, kontinuierliches Material als das Substrat 50 veranschaulicht ist, können die Finne 52 und/oder das Substrat 50 zusätzlich auch ein einzelnes Material oder mehrere Materialien umfassen. In diesem Zusammenhang bezieht sich die Finne 52 auf den Abschnitt, der sich zwischen den benachbarten Isolationsregionen 56 erstreckt.
  • Eine Gate-Dielektrikumschicht 92 befindet sich entlang der Seitenwände und über einer Oberseite der Finne 52, und eine Gate-Elektrode 94 befindet sich über der Gate-Dielektrikumschicht 92. Die Source/Drain-Regionen 82 sind auf gegenüberliegenden Seiten der Finne 52 in Bezug auf die Gate-Dielektrikumschicht 92 und die Gate-Elektrode 94 angeordnet. 1 veranschaulicht des Weiteren Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt A-A verläuft entlang einer Längsachse der Gate-Elektrode 94 und zum Beispiel in einer Richtung senkrecht zur Richtung des Stromflusses zwischen den Source/Drain-Regionen 82 des FinFET. Der Querschnitt B-B verläuft senkrecht zum Querschnitt A-A und verläuft entlang einer Längsachse der Finne 52 und zum Beispiel in einer Richtung eines Stromflusses zwischen den Source/Drain-Regionen 82 des FinFET. Der Querschnitt C-C verläuft parallel zum Querschnitt A-A und erstreckt sich durch eine Source/Drain-Region des FinFET hindurch. Die anschließenden Figuren beziehen sich zur Verdeutlichung auf diese Referenzquerschnitte.
  • Einige der im vorliegenden Text besprochenen Ausführungsformen werden im Kontext von FinFETs besprochen, die mit einem Gate-Last-Prozess gebildet werden. In anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Einige Ausführungsformen ziehen auch Aspekte in Betracht, die in planaren Vorrichtungen verwendet werden, wie zum Beispiel planare FETs, Nanostruktur-Feldeffekttransistoren (NSFETs) (zum Beispiel Nanolagen, Nanodraht, Gate-All-Around oder dergleichen), oder dergleichen.
  • 2 bis 25B sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. 2 bis 7 veranschaulichen den in 1 veranschaulichten Referenzquerschnitt A-A, mit Ausnahme mehrerer Finnen/FinFETs. Die 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 16A, 17A, 18A, 19A, 20A, 21A, 22A, 23A, 24A und 25A sind entlang des in 1 veranschaulichten Referenzquerschnitts A-A veranschaulicht, und die 8B, 9B, 10B, 11B, 12B, 13B, 14B, 14C, 15B, 16B, 17B, 18B, 19B, 20B, 21B, 22B, 23B, 24B und 25B sind entlang eines in 1 veranschaulichten ähnlichen Querschnitts B-B veranschaulicht, mit Ausnahme mehrerer Finnen/FinFETs. 10C und 10D sind entlang des in 1 veranschaulichten Referenzquerschnitts C-C veranschaulicht, mit Ausnahme mehrerer Finnen/FinFETs.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie zum Beispiel ein Volumenhalbleiter, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (zum Beispiel mit einem Dotanden vom p-Typ oder vom n-Typ) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie zum Beispiel ein Silizium-Wafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet wird. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxidschicht (Buried Oxid Layer, BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat, in der Regel einem Siliziumsubstrat oder einem Glassubstrat, angeordnet. Andere Substrate, wie zum Beispiel ein mehrschichtiges oder Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium, Germanium, einen Verbundhalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter, einschließlich Silizium-Germanium, Gallium-Arsenidphosphid, Aluminium-Indiumarsenid, Aluminium-Galliumarsenid, Gallium-Indiumarsenid, Gallium-Indiumphosphid und/oder Gallium-Indiumarsenidphosphid oder Kombinationen davon umfassen.
  • Das Substrat 50 hat eine n-Region 50N und eine p-Region 50P. Die n-Region 50N kann zum Bilden von n-Vorrichtungen, wie zum Beispiel NMOS-Transistoren, zum Beispiel n-FinFETs, verwendet werden. Die p-Region 50P kann zum Bilden von p-Vorrichtungen, wie zum Beispiel PMOS-Transistoren, zum Beispiel p-FinFETs, verwendet werden. Die n-Region 50N kann physisch von der p-Region 50P getrennt sein (wie durch den Teiler 51 veranschaulicht), und es können beliebig viele Vorrichtungsstrukturelemente (zum Beispiel andere aktive Vorrichtungen, dotierte Regionen, Isolationsstrukturen usw.) zwischen der n-Region 50N und der p-Region 50P angeordnet sein.
  • In 3 werden in dem Substrat 50 Finnen 52 gebildet. Die Finnen 52 sind Halbleiterstreifen. In einigen Ausführungsformen können die Finnen 52 in dem Substrat 50 durch Ätzen von Gräben in dem Substrat 50 gebildet werden. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie zum Beispiel ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), dergleichen, oder eine Kombination davon. Das Ätzen kann anisotrop sein.
  • Die Finnen können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen 52 mittels eines oder mehrerer Fotolithografieprozesse, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie- und selbstausrichtende Prozesse, wodurch Strukturen erzeugt werden können, die zum Beispiel Mittenabstände haben, die kleiner sind als die, die ansonsten durch einen einzelnen, direkten Fotolithografieprozess erhalten werden können. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und durch einen Fotolithografieprozess strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht durch einen selbstausrichtenden Prozess gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können dann zum Strukturieren der Finnen verwendet werden. In einigen Ausführungen kann die Maske (oder eine andere Schicht) auf den Finnen 52 verbleiben.
  • In 4 wird ein Isolationsmaterial 54 über dem Substrat 50 und zwischen benachbarten Finnen 52 gebildet. Das Isolationsmaterial 54 kann ein Oxid, wie zum Beispiel Siliziumoxid, ein Nitrid, dergleichen, oder eine Kombination davon sein und kann durch eine chemische Hochdichteplasma-Aufdampfung (HDP-CVD), eine fließfähige CVD (FCVD) (zum Beispiel eine CVD-basierte Materialabscheidung in einem räumlich abgesetzten Plasmasystem und Nach-Brennen, um es zu einem Material umzuwandeln, wie zum Beispiel einem Oxid), dergleichen, oder eine Kombination davon gebildet werden. Es können auch andere Isolationsmaterialien verwendet werden, die durch einen beliebigen akzeptablen Prozess gebildet werden. In der veranschaulichten Ausführungsform ist das Isolationsmaterial 54 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Temperungsprozess kann ausgeführt werden, sobald das Isolationsmaterial gebildet wurde. In einer Ausführungsform wird das Isolationsmaterial 54 so gebildet, dass überschüssiges Isolationsmaterial 54 die Finnen 52 bedeckt. Obgleich das Isolationsmaterial 54 als eine einzelne Schicht veranschaulicht ist, können einige Ausführungsformen auch mehrere Schichten verwenden. Zum Beispiel kann in einigen Ausführungsformen zunächst eine Auskleidung (nicht gezeigt) entlang einer Fläche des Substrats 50 und der Finnen 52 gebildet werden. Danach kann ein Füllmaterial, wie zum Beispiel jene, die oben besprochen wurden, über der Auskleidung gebildet werden.
  • In 5 wird ein Abtragsprozess auf das Isolationsmaterial 54 angewendet, um überschüssiges Isolationsmaterial 54 über den Finnen 52 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie zum Beispiel ein chemischmechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess legt die Finnen 52 frei, dergestalt, dass die Oberseiten der Finnen 52 und des Isolationsmaterials 54 nach Abschluss des Planarisierungsprozesses bündig abschließen. In Ausführungen, bei denen eine Maske auf den Finnen 52 verbleibt, kann der Planarisierungsprozess die Maske freilegen oder die Maske entfernen, dergestalt, dass die Oberseiten der Maske bzw. der Finnen 52 und des Isolationsmaterials 54 nach Abschluss des Planarisierungsprozesses bündig abschließen.
  • In 6 wird das Isolationsmaterial 54 ausgespart, um Flachgrabenisolationsregionen (Shallow Trench Isolation, STI-Regionen) 56 zu bilden. Das Isolationsmaterial 54 wird so ausgespart, dass obere Abschnitte der Finnen 52 in der n-Region 50N und in der p-Region 50P zwischen benachbarten STI-Regionen 56 hervorstehen. Des Weiteren können die Oberseiten der STI-Regionen 56 eine flache Oberfläche, wie veranschaulicht, eine konvexe Oberfläche, eine konkave Oberfläche (zum Beispiel napfförmig) oder eine Kombination davon aufweisen. Die Oberseiten der STI-Regionen 56 können durch ein zweckmäßiges Ätzen flach, konvex und/oder konkav geformt werden. Die STI-Regionen 56 können mittels eines akzeptablen Ätzprozesses ausgespart werden, wie zum Beispiel einem, der für das Material des Isolationsmaterials 54 selektiv ist (zum Beispiel das Material des Isolationsmaterials 54 mit einer schnelleren Rate ätzt als das Material der Finnen 52). Zum Beispiel kann ein Oxidabtrag zum Beispiel mittels verdünnter Flusssäure (dHF) verwendet werden.
  • Der mit Bezug auf die 2 bis 6 beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 52 gebildet werden können. In einigen Ausführungsformen können die Finnen durch einen epitaxialen Wachstumsprozess gebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer Oberseite des Substrats 50 gebildet sind, und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Homoepitaxiale Strukturen können epitaxial in den Gräben gezüchtet werden, und die dielektrische Schicht kann so ausgespart werden, dass die homoepitaxialen Strukturen von der dielektrischen Schicht hervorstehen und Finnen bilden. Zusätzlich können in einigen Ausführungsformen heteroepitaxiale Strukturen für die Finnen 52 verwendet werden. Zum Beispiel können die Finnen 52 in 5 ausgespart werden, und ein anderes Material als das der Finnen 52 kann epitaxial über den ausgesparten Finnen 52 gezüchtet werden. In solchen Ausführungsformen umfassen die Finnen 52 das ausgesparte Material sowie das epitaxial gezüchtete Material, das über dem ausgesparten Material angeordnet ist. In einer weiteren Ausführungsform kann eine dielektrische Schicht über einer Oberseite des Substrats 50 gebildet werden, und Gräben können durch die dielektrische Schicht geätzt werden. Heteroepitaxiale Strukturen können dann epitaxial in den Gräben unter Verwendung eines von dem Substrat 50 verschiedenen Materials gezüchtet werden, und die dielektrische Schicht kann so ausgespart werden, dass die heteroepitaxialen Strukturen von der dielektrischen Schicht hervorstehen, um die Finnen 52 zu bilden. In einigen Ausführungsformen, in denen homoepitaxiale oder heteroepitaxiale Strukturen epitaxial gezüchtet werden, können die gezüchteten Materialien während des Wachstums in situ dotiert werden, was vorherige und nachfolgende Implantationen vermeiden kann, obgleich in-situ- und Implantationsdotierung auch zusammen verwendet werden können.
  • Darüber hinaus kann es vorteilhaft sein, in der n-Region 50N (zum Beispiel einer NMOS-Region) epitaxial ein Material zu züchten, das sich von dem Material in der p-Region 50P (zum Beispiel einer PMOS-Region) unterscheidet. In verschiedenen Ausführungsformen können obere Abschnitte der Finnen 52 aus Silizium-Germanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), Siliziumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbundhalbleiter, einem II-VI-Verbundhalbleiter oder dergleichen gebildet werden. Zu den verfügbaren Materialien für das Bilden von III-V-Verbundhalbleitern gehören zum Beispiel Indiumarsenid, Aluminiumarsenid, Galliumarsenid, Indiumphosphid, Galliumnitrid, Indiumgalliumarsenid, Indiumaluminiumarsenid, Galliumantimonid, Aluminiumantimonid, Aluminiumphosphid, Galliumphosphid und dergleichen.
  • Des Weiteren können in 6 geeignete Mulden (nicht gezeigt) in den Finnen 52 und/oder dem Substrat 50 gebildet werden. In einigen Ausführungsformen kann ein P-Mulde in der n-Region 50N gebildet werden, und eine N-Mulde kann in der p-Region 50P gebildet werden. In einigen Ausführungsformen wird sowohl in der n-Region 50N als auch in der p-Region 50P eine P-Mulde oder eine N-Mulde gebildet.
  • In den Ausführungsformen mit verschiedenen Muldentypen können die verschiedenen Implantierungsschritte für die n-Region 50N und die p-Region 50P unter Verwendung eines Photoresists oder anderer Masken (nicht gezeigt) bewerkstelligt werden. Zum Beispiel kann ein Photoresist über den Finnen 52 und den STI-Regionen 56 in der n-Region 50N gebildet werden. Der Photoresist wird so strukturiert, dass die p-Region 50P des Substrats 50 frei liegt. Der Photoresist kann mit Hilfe einer Aufschleudertechnik gebildet werden und kann unter Verwendung akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Photoresist strukturiert ist, wird eine Implantierung von n-Störatomen in der p-Region 50P ausgeführt, und der Photoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass n-Störatome in die n-Region 50N implantiert werden. Die n-Störatome können Phosphor, Arsen, Antimon oder dergleichen sein, die in die Region auf eine Konzentration von maximal 1018 cm-3 implantiert werden, wie zum Beispiel zwischen etwa 1016 cm-3 und etwa 1018 cm-3. Nach der Implantierung wird der Photoresist entfernt, wie zum Beispiel durch einen akzeptablen Ashing-Prozess.
  • Nach der Implantierung der p-Region 50P wird über den Finnen 52 und den STI-Regionen 56 in der p-Region 50P ein Photoresist gebildet. Der Photoresist wird so strukturiert, dass die n-Region 50N des Substrats 50 frei liegt. Der Photoresist kann mit Hilfe einer Aufschleudertechnik gebildet werden und kann unter Verwendung akzeptabler Fotolithografietechniken strukturiert werden. Sobald der Photoresist strukturiert ist, kann eine Implantierung von p-Störatomen in der n-Region 50N ausgeführt werden, und der Photoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass p-Störatome in die p-Region 50P implantiert werden. Die p-Störatome können Bor, Borfluorid, Indium oder dergleichen sein, die in die Region auf eine Konzentration von maximal 1018 cm-3 implantiert werden, wie zum Beispiel zwischen etwa 1016 cm-3 und etwa 1018 cm-3. Nach der Implantierung kann der Photoresist entfernt werden, wie zum Beispiel durch einen akzeptablen Ashing-Prozess.
  • Nach den Implantierungen der n-Region 50N und der p-Region 50P kann ein Tempern ausgeführt werden, um Implantierungsschäden zu reparieren und die p- und/oder n-Störatome, die implantiert wurden, zu aktivieren. In einigen Ausführungsformen können die gezüchteten Materialien von epitaxialen Finnen während des Wachstums in situ dotiert werden, was die Implantierungen vermeiden kann, obgleich in-situ- und Implantierungsdotierung auch zusammen verwendet werden können.
  • In 7 wird auf den Finnen 52 eine Dummy-Dielektrikumschicht 60 gebildet. Die Dummy-Dielektrikumschicht 60 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann gemäß akzeptablen Techniken abgeschieden oder thermisch gezüchtet werden. Über der Dummy-Dielektrikumschicht 60 wird eine Dummy-Gate-Schicht 62 gebildet, und über der Dummy-Gate-Schicht 62 wird eine Maskenschicht 64 gebildet. Die Dummy-Gate-Schicht 62 kann über der Dummy-Dielektrikumschicht 60 abgeschieden und dann planarisiert werden, zum Beispiel durch ein CMP. Die Maskenschicht 64 kann über der Dummy-Gate-Schicht 62 abgeschieden werden. Die Dummy-Gate-Schicht 62 kann ein leitfähiges oder nicht-leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), Metallnitride, Metallsilicide, Metalloxide und Metalle umfasst. Die Dummy-Gate-Schicht 62 kann durch physikalische Aufdampfung (PVD), CVD, Sputterabscheidung oder andere Techniken zum Abscheiden des ausgewählten Materials abgeschieden werden. Die Dummy-Gate-Schicht 62 kann auch aus anderen Materialien hergestellt werden, die eine hohe Ätzselektivität aus dem Ätzen von Isolationsregionen aufweisen, zum Beispiel die STI-Regionen 56 und/oder die Dummy-Dielektrikumschicht 60. Die Maskenschicht 64 kann eine oder mehrere Schichten zum Beispiel aus Siliziumnitrid, Siliziumoxynitrid oder dergleichen aufweisen. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 62 und eine einzelne Maskenschicht 64 über der n-Region 50N und der p-Region 50P gebildet. Es ist anzumerken, dass die Dummy-Dielektrikumschicht 60 allein zur Veranschaulichung so gezeigt ist, dass sie nur die Finnen 52 bedeckt. In einigen Ausführungsformen kann die Dummy-Dielektrikumschicht 60 so abgeschieden werden, dass die Dummy-Dielektrikumschicht 60 die STI-Regionen 56 bedeckt und sich über die STI-Regionen und zwischen der Dummy-Gate-Schicht 62 und den STI-Regionen 56 erstreckt.
  • 8A bis 16B veranschaulichen verschiedene zusätzliche Schritte bei der Herstellung von Ausführungsvorrichtungen. 8A bis 16B veranschaulichen Strukturelemente in einer der n-Region 50N und der p-Region 50P. Zum Beispiel können die in den 8A bis 16B veranschaulichten Strukturen sowohl für die n-Region 50N als auch für die p-Region 50P anwendbar sein. Unterschiede (falls vorhanden) in den Strukturen der n-Region 50N und der p-Region 50P sind im begleitenden Text zu jeder Figur beschrieben.
  • In den 8A und 8B kann die Maskenschicht 64 (siehe 7) mittels akzeptabler Fotolithografie- und Ätztechniken strukturiert werden, um Masken 74 zu bilden. Die Struktur der Masken 74 kann dann zu der Dummy-Gate-Schicht 62 übertragen werden. In einigen Ausführungsformen (nicht veranschaulicht) kann die Struktur der Masken 74 auch durch eine akzeptable Ätztechnik zu der Dummy-Dielektrikumschicht 60 übertragen werden, um Dummy-Gates 72 zu bilden. Die Dummy-Gates 72 bedecken jeweilige Kanalregionen 58 der Finnen 52. Die Struktur der Masken 74 kann verwendet werden, um jedes der Dummy-Gates 72 physisch von benachbarten Dummy-Gates zu trennen. Die Dummy-Gates 72 können auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung jeweiliger epitaxialer Finnen 52 verläuft.
  • Des Weiteren können in den 8A und 8B Gate-Dichtungs-Abstandshalter 80 auf freiliegenden Flächen der Dummy-Gates 72, der Masken 74 und/oder der Finnen 52 gebildet werden. Eine thermische Oxidation oder eine Abscheidung mit anschließendem anisotropem Ätzen kann die Gate-Dichtungs-Abstandshalter 80 bilden. Die Gate-Dichtungs-Abstandshalter 80 können aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen gebildet werden.
  • Nach der Bildung der Gate-Dichtungs-Abstandshalter 80 können Implantierungen für schwach dotierte Source/Drain (LDD)-Regionen (nicht explizit veranschaulicht) ausgeführt werden. In den Ausführungsformen mit verschiedenen Vorrichtungstypen, ähnlich den oben in 6 besprochenen Implantierungen, kann über der n-Region 50N eine Maske, wie zum Beispiel ein Photoresist, gebildet werden, während die p-Region 50P frei liegt, und es können Störatome eines geeignetes Typs (zum Beispiel p-Typ) in die freiliegenden Finnen 52 in der p-Region 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie zum Beispiel ein Photoresist, über der p-Region 50P gebildet werden, während die n-Region 50N frei liegt, und es können Störatome eines geeignetes Typs (zum Beispiel n-Typ) in die freiliegenden Finnen 52 in der n-Region 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Störatome können beliebige der zuvor besprochenen n-Störatome sein, und die p-Störatome können beliebige der zuvor besprochenen p-Störatome sein. Die schwach dotierten Source/Drain-Regionen können eine Konzentration von Störatomen aufweisen, die von etwa 1015 cm-3 bis etwa 1019 cm-3 reicht. Ein Tempern kann zum Reparieren von Implantierungsschäden und zum Aktivieren der implantierten Störatome verwendet werden.
  • In den 9A und 9B werden an den Gate-Dichtungs-Abstandshaltern 80 entlang Seitenwänden der Dummy-Gates 72 und der Masken 74 Gate-Abstandshalter 86 gebildet. Die Gate-Abstandshalter 86 können durch konforme Abscheidung eines Isoliermaterials und anschließendes anisotropes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial der Gate-Abstandshalter 86 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen sein.
  • Es ist anzumerken, dass die obige Offenbarung allgemein einen Prozess des Bildens von Abstandshaltern und LDD-Regionen beschreibt. Es können auch andere Prozesse und Abfolgen verwendet werden. So können zum Beispiel weniger oder zusätzliche Abstandhalter verwendet werden, oder eine andere Abfolge von Schritten kann verwendet werden; zum Beispiel brauchen die Gate-Dichtungs-Abstandshalter 80 vor dem Bilden der Gate-Abstandhalter 86 nicht geätzt zu werden, wodurch „L-förmige“ Gate-Dichtungs-Abstandshalter entstehen, Abstandhalter können gebildet und entfernt werden, und/oder dergleichen. Darüber hinaus können die n- und p-Vorrichtungen mittels anderer Strukturen und Schritte gebildet werden. Zum Beispiel können LDD-Regionen für n-Vorrichtungen vor dem Bilden der Gate-Dichtungs-Abstandshalter 80 gebildet werden, während die LDD-Regionen für p-Vorrichtungen nach dem Bilden der Gate-Dichtungs-Abstandshalter 80 gebildet werden können.
  • In den 10A und 10B werden epitaxiale Source/Drain-Regionen 82 in den Finnen 52 gebildet. Die epitaxialen Source/Drain-Regionen 82 werden in den Finnen 52 so gebildet, dass jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren der epitaxialen Source/Drain-Regionen 82 angeordnet ist. In einigen Ausführungen können sich die epitaxialen Source/Drain-Regionen 82 in die Finnen 52 hinein erstrecken und auch durch sie hindurch verlaufen. In einigen Ausführungsformen werden die Gate-Abstandshalter 86 verwendet, um die epitaxialen Source/Drain-Regionen 82 von den Dummy-Gates 72 um eine zweckmäßige seitliche Distanz zu trennen, so dass die epitaxialen Source/Drain-Regionen 82 nicht die nachfolgend gebildeten Gates der resultierenden FinFETs kurzschließen. Ein Material der epitaxialen Source-/Drain-Regionen 82 kann so gewählt werden, dass in den jeweiligen Kanalregionen 58 eine Verspannung ausgeübt wird, wodurch die Leistung verbessert wird.
  • Die epitaxialen Source/Drain-Regionen 82 in der n-Region 50N können durch Maskieren der p-Region 50P und Ätzen von Source/Drain-Regionen der Finnen 52 in der n-Region 50N gebildet werden, um Aussparungen in den Finnen 52 zu bilden. Anschließend werden die epitaxialen Source/Drain-Regionen 82 in der n-Region 50N epitaxial in den Aussparungen gezüchtet. Die epitaxialen Source/Drain-Regionen 82 können jedes akzeptable Material enthalten, das für n-FinFETs geeignet ist. Wenn zum Beispiel die Finne 52 aus Silizium besteht, so können die epitaxialen Source/Drain-Regionen 82 in der n-Region 50N Materialien enthalten, die eine Zugbelastung in der Kanalregion 58 ausüben, wie zum Beispiel Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaxialen Source/Drain-Regionen 82 in der n-Region 50N können Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 aus erhöht sind, und können Facetten aufweisen.
  • Die epitaxialen Source/Drain-Regionen 82 in der p-Region 50P können durch Maskieren der n-Region 50N und Ätzen von Source/Drain-Regionen der Finnen 52 in der p-Region 50P gebildet werden, um Aussparungen in den Finnen 52 zu bilden. Anschließend werden die epitaxialen Source/Drain-Regionen 82 in der p-Region 50P epitaxial in den Aussparungen gezüchtet. Die epitaxialen Source/Drain-Regionen 82 können jedes akzeptable Material enthalten, das für p-FinFETs geeignet ist. Wenn zum Beispiel die Finne 52 aus Silizium besteht, so können die epitaxialen Source/Drain-Regionen 82 in der p-Region 50P Materialien enthalten, die eine Druckverspannung in der Kanalregion 58 ausüben, wie zum Beispiel Silizium-Germanium, bordotiertes Silizium-Germanium, Germanium, Germanium-Zinn oder dergleichen. Die epitaxialen Source/Drain-Regionen 82 in der p-Region 50P können Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 aus erhöht sind, und können Facetten aufweisen.
  • Die epitaxialen Source/Drain-Regionen 82 und/oder die Finnen 52 können mit Dotanden implantiert werden, um Source/Drain-Regionen zu bilden, ähnlich dem Prozess, der zuvor für das Bilden schwach dotierter Source/Drain-Regionen beschrieben wurde, gefolgt von einem Tempern. Die Source/Drain-Regionen können eine Störatomkonzentration zwischen etwa 1019 cm-3 und etwa 1021 cm-3 aufweisen. Die Störatome vom n-Typ und/oder vom p- Typ für Source/Drain-Regionen können beliebige der zuvor besprochenen Störatome sein. In einigen Ausführungsformen können die epitaxialen Source/Drain-Regionen 82 während des Wachstums in situ dotiert werden.
  • Im Ergebnis der Epitaxieprozesse, die zum Bilden der epitaxialen Source/Drain-Regionen 82 in der n-Region 50N und der p-Region 50P verwendet werden, weisen die Oberseiten der epitaxialen Source/Drain-Regionen Facetten auf, die sich seitlich nach außen über eine Seitenwand der Finnen 52 hinaus erstrecken. In einigen Ausführungsformen bewirken diese Facetten, dass benachbarte Source/Drain-Regionen 82 desselben FinFET verschmelzen, wie in 10C veranschaulicht. In anderen Ausführungsformen bleiben benachbarte Source/Drain-Regionen 82 getrennt, nachdem der Epitaxieprozess abgeschlossen ist, wie durch 10D veranschaulicht. In den Ausführungsformen, die in den 10C und 10D veranschaulicht sind, werden Gate-Abstandshalter 86 gebildet, die einen Abschnitt der Seitenwände der Finnen 52 bedecken, die sich über die STI-Regionen 56 hinaus erstrecken und dadurch das epitaxiale Wachstum blockieren. In einigen anderen Ausführungen kann die zum Bilden der Gate-Abstandshalter 86 verwendete Abstandshalter-Ätzung so justiert werden, dass das Abstandshaltermaterial entfernt wird, damit sich die epitaxial gezüchtete Region bis zur Fläche der STI-Region 56 erstrecken kann.
  • In den 11A und 11B wird ein erstes Zwischenschichtdielektrikum (Interlayer Dielectric, ILD) 88 über der in den 10A und 10B veranschaulichten Struktur abgeschieden. Das erste ILD 88 kann aus einem dielektrischen Material gebildet werden und kann durch jedes geeignete Verfahren, wie zum Beispiel CVD, plasmaunterstützte CVD (PECVD) oder FCVD, abgeschieden werden. Zu den dielektrischen Materialien können Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen gehören. Es können auch andere Isolationsmaterialien verwendet werden, die durch einen beliebigen akzeptablen Prozess gebildet werden. In einigen Ausführungsformen wird eine Kontaktätzstoppschicht (Contact Etch Stop Layer, CESL) 87 zwischen dem ersten ILD 88 und den epitaxialen Source/Drain-Regionen 82, der Maske 74 und den Gate-Abstandshaltern 86 angeordnet. Die CESL 87 kann ein dielektrisches Material wie zum Beispiel Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen umfassen, das eine geringere Ätzrate als das Material des darüberliegenden ersten ILD 88 aufweisen kann.
  • In den 12A und 12B kann ein Planarisierungsprozess, wie zum Beispiel ein CMP, ausgeführt werden, um die Oberseite des ersten ILD 88 bündig mit der Oberseite der Dummy-Gates 72 oder der Masken 74 abschließen zu lassen. Der Planarisierungsprozess kann auch die Masken 74 auf den Dummy-Gates 72 und Abschnitte der Gate-Dichtungs-Abstandshalter 80 und der Gate-Abstandshalter 86 entlang Seitenwänden der Masken 74 entfernen. Nach dem Planarisierungsprozess sind die Oberseiten der Dummy-Gates 72, der Gate-Dichtungs-Abstandshalter 80, der Gate-Abstandshalter 86 und des ersten ILD 88 bündig. Dementsprechend werden die Oberseiten der Dummy-Gates 72 durch das erste ILD 88 hindurch freigelegt. In einigen Ausführungsformen können die Masken 74 bleiben; in diesem Fall wird durch den Planarisierungsprozess die Oberseite des ersten ILD 88 mit den Oberseiten der Oberseite der Masken 74 bündig gemacht.
  • In den 13A und 13B werden die Dummy-Gates 72 und die Masken 74, falls vorhanden, in einem oder mehreren Ätzschritten entfernt, so dass Aussparungen 90 entstehen. Abschnitte der dielektrischen Dummy-Schicht 60 in den Aussparungen 90 können ebenfalls entfernt werden. In einigen Ausführungsformen werden nur die Dummy-Gates 72 entfernt, und die Dummy-Dielektrikumschicht 60 bleibt und wird durch die Aussparungen 90 freigelegt. In einigen Ausführungen wird die Dummy-Dielektrikumschicht 60 aus den Aussparungen 90 in einer ersten Region eines Dies (zum Beispiel einer logischen Kernregion) entfernt und verbleibt in Aussparungen 90 in einer zweiten Region des Dies (zum Beispiel einer Eingabe/Ausgabe-Region). In einigen Ausführungsformen werden die Dummy-Gates 72 durch einen anisotropen Trockenätzprozess entfernt. Zum Beispiel kann der Ätzprozess einen Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase umfassen, die die Dummy-Gates 72 selektiv ätzen, wobei das erste ILD 88 oder die Gate-Abstandshalter 86 allenfalls gering geätzt werden. Jede Aussparung 90 legt eine Kanalregion 58 einer jeweiligen Finne 52 frei und/oder liegt über ihr. Jede Kanalregion 58 ist zwischen benachbarten Paaren der epitaxialen Source/Drain-Regionen 82 angeordnet. Während des Entfernens kann die Dummy-Dielektrikumschicht 60 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 72 geätzt werden. Die Dummy-Dielektrikumschicht 60 kann dann nach dem Entfernen der Dummy-Gates 72 optional entfernt werden.
  • In den 14A und 14B werden Gate-Dielektrikumschichten 92 und Gate-Elektroden 94 für Ersatz-Gates gebildet. 14C veranschaulicht eine detaillierte Ansicht der Region 89 in 14B. Die Gate-Dielektrikumschichten 92 umfassen eine oder mehrere Schichten, die in den Aussparungen 90 abgeschieden werden, wie zum Beispiel auf den Oberseiten und den Seitenwänden der Finnen 52 und an Seitenwänden der Gate-Dichtungs-Abstandshalter 80/Gate-Abstandshalter 86. Die Gate-Dielektrikumschichten 92 können auch auf der Oberseite des ersten ILD 88 gebildet werden. In einigen Ausführungen umfassen die Gate-Dielektrikumschichten 92 eine oder mehrere dielektrische Schichten, wie zum Beispiel eine oder mehrere Schichten aus Siliziumoxid, Siliziumnitrid, Metalloxid, Metallsilikat oder dergleichen. Zum Beispiel umfassen die Gate-Dielektrikumschichten 92 in einigen Ausführungen eine Grenzflächenschicht aus Siliziumoxid, die durch thermische oder chemische Oxidation gebildet wird, und ein darüberliegendes dielektrisches Material mit hohem k-Wert, wie zum Beispiel ein Metalloxid oder ein Silikat aus Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon. Die Gate-Dielektrikumschichten 92 können eine dielektrische Schicht mit einem k-Wert von mehr als etwa 7,0 aufweisen. Die Bildungsverfahren der Gate-Dielektrikumschichten 92 können Molekularstrahlabscheidung (Molecular-Beam Deposition, MBD), ALD, PECVD und dergleichen umfassen. In Ausführungsformen, in denen Abschnitte des Dummy-Gate-Dielektrikums 60 in den Aussparungen 90 verbleiben, enthalten die Gate-Dielektrikumschichten 92 ein Material des Dummy-Gate-Dielektrikums 60 (zum Beispiel SiO2).
  • Die Gate-Elektroden 94 werden jeweils über den Gate-Dielektrikumschichten 92 abgeschieden und füllen die restlichen Abschnitte der Aussparungen 90. Die Gate-Elektroden 94 können ein metallhaltiges Material wie zum Beispiel Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Mehrfachschichten davon enthalten. Obgleich in 14B eine einschichtige Gate-Elektrode 94 veranschaulicht ist, kann die Gate-Elektrode 94 zum Beispiel auch jede beliebige Anzahl von Auskleidungsschichten 94A, jede beliebige Anzahl von Austrittsarbeitsabstimmschichten 94B und ein Füllmaterial 94C umfassen, wie in 14C veranschaulicht. Nach dem Füllen der Aussparungen 90 kann ein Planarisierungsprozess, wie zum Beispiel ein CMP, ausgeführt werden, um die überschüssigen Abschnitte der Gate-Dielektrikumschichten 92 und des Materials der Gate-Elektroden 94, wobei diese überschüssigen Abschnitte über der Oberseite der ILD 88 liegen, zu entfernen. Die restlichen Materialabschnitte der Gate-Elektroden 94 und der Gate-Dielektrikumschichten 92 bilden somit Ersatz-Gates der resultierenden FinFETs. Die Gate-Elektroden 94 und die Gate-Dielektrikumschichten 92 können zusammen als „Gate-Stapel“ bezeichnet werden. Das Gate und die Gate-Stapel können sich entlang der Seitenwände einer Kanalregion 58 der Finnen 52 erstrecken.
  • Die Bildung der Gate-Dielektrikumschichten 92 in der n-Region 50N und in der p-Region 50P kann gleichzeitig erfolgen, so dass die Gate-Dielektrikumschichten 92 in jeder Region aus den gleichen Materialien gebildet werden, und die Bildung der Gate-Elektroden 94 kann gleichzeitig erfolgen, so dass die Gate-Elektroden 94 in jeder Region aus den gleichen Materialien gebildet werden. In einigen Ausführungsformen können die Gate-Dielektrikumschichten 92 in jeder Region durch eigenständige Prozesse gebildet werden, so dass die Gate-Dielektrikumschichten 92 unterschiedliche Materialien sein können, und/oder die Gate-Elektroden 94 in jeder Region können durch eigenständige Prozesse gebildet werden, so dass die Gate-Elektroden 94 unterschiedliche Materialien sein können. Verschiedene Maskierungsschritte können verwendet werden, um zweckmäßige Regionen zu maskieren und freizulegen, wenn eigenständige Prozesse verwendet werden.
  • In einigen Ausführungsformen wird eine Gate-Maske (nicht explizit veranschaulicht) über dem Gate-Stapel (der eine Gate-Dielektrikumschicht 92 und eine entsprechende Gate-Elektrode 94 aufweist) gebildet, und die Gate-Maske kann zwischen gegenüberliegenden Abschnitten der Gate-Abstandshalter 86 angeordnet werden. In einigen Ausführungsformen umfasst das Bilden der Gate-Maske das Aussparen des Gate-Stapels, so dass eine Aussparung direkt über dem Gate-Stapel und zwischen gegenüberliegenden Abschnitten der Gate-Abstandshalter 86 gebildet wird. Eine Gate-Maske, die eine oder mehrere Schichten aus dielektrischem Material, wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid oder dergleichen, umfasst, kann dann in die Aussparung gefüllt werden, gefolgt von einem Planarisierungsprozess, um überschüssige Abschnitte des dielektrischen Materials, die sich über das erste ILD 88 erstrecken, zu entfernen.
  • In den 15A und 15B wird ein zweites ILD 102 über dem ersten ILD 88 abgeschieden. In einigen Ausführungsformen ist das zweite ILD 102 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen kann das zweite ILD 102 ein Material sein, das dem des ersten ILD 88 ähnelt, und kann auf ähnliche Weise gebildet werden. Zum Beispiel kann das zweite ILD 102 aus einem dielektrischen Material wie zum Beispiel einem Oxid, PSG, BSG, BPSG, USG oder dergleichen gebildet werden und kann durch ein beliebiges geeignetes Verfahren, wie zum Beispiel CVD oder PECVD, abgeschieden werden. In einigen Ausführungsformen wird vor dem Abscheiden des zweiten ILD 102 eine optionale Ätzstoppschicht 100 gebildet. Die Ätzstoppschicht 100 kann ein dielektrisches Material, wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid oder dergleichen, umfassen, das eine geringere Ätzrate als das Material des darüber liegenden zweiten ILD 102 aufweisen kann.
  • 16A bis 20B veranschaulichen die Bildung leitfähiger Strukturelemente 108 (siehe 20B) gemäß einigen Ausführungsformen. Die leitfähigen Strukturelemente 108 stellen elektrische Verbindungen zu jeweiligen epitaxialen Source/Drain-Regionen 82 bereit und können in einigen Fällen als „Source/Drain-Kontaktstecker“ oder dergleichen angesehen werden. In einigen Ausführungsformen werden die leitfähigen Strukturelemente durch Abscheiden eines zweiten leitfähigen Materials 106 (siehe 18A-B) über einem ersten leitfähigen Material 104 (siehe 17A-B) 108 gebildet. Auf diese Weise kann das erste leitfähige Material 104 eine äußere Schicht sein, die eine innere Schicht aus dem zweiten leitfähigen Material 106 mindestens teilweise umgibt. In einigen Fällen fungiert das erste leitfähige Material 104 als eine Auskleidungsschicht, die die Adhäsion des zweiten leitfähigen Materials 106 verbessert. Auf diese Weise kann das zweite leitfähige Material 106 in einigen Fällen als eine „Füllstoffschicht“ angesehen werden. In einigen Ausführungsformen wird nach dem Abscheiden des zweiten leitfähigen Materials 106 ein thermischer Prozess 107 (siehe 19A-B) durchgeführt, der eine Vermischungsgrenzfläche 105 zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 bildet, was den Widerstand der leitfähigen Strukturelemente 108 verringern kann.
  • 16A und 16B veranschaulichen einen Strukturierungsprozess des zweiten ILD 102, der Ätzstoppschicht 100, des ersten ILD 88 und der CESL 87 zum Bilden von Öffnungen 103 gemäß einigen Ausführungsformen. Die Öffnungen 103 können Oberflächen der Source/Drain-Regionen 82 freilegen. Das Strukturieren kann unter Verwendung akzeptabler Fotolithografie- und Ätztechniken durchgeführt werden. Zum Beispiel kann ein Photoresist über dem zweiten ILD 102 gebildet und strukturiert werden. Der Photoresist kann zum Beispiel unter Verwendung einer Aufschleudertechnik gebildet werden und kann unter Verwendung akzeptabler Fotolithografietechniken strukturiert werden. Ein oder mehrere geeignete Ätzprozesse können unter Verwendung des strukturierten Photoresists als eine Ätzmaske durchgeführt werden, wodurch die Öffnungen 103 gebildet werden. Der eine oder die mehreren Ätzprozesse können Nassätz- und/oder Trockenätzprozesse umfassen. In einigen Ausführungsformen können der eine oder die mehreren Ätzprozesse unter Verwendung von Ätzmitteln wie zum Beispiel CF4, CHF3, CH2F2, C4F6, C4F8, Ar, O2, N2, H2, dergleichen, oder Kombinationen davon durchgeführt werden. 16A-B zeigen die Öffnungen 103 mit im Wesentlichen vertikalen Seitenwänden, aber die Öffnungen 103 können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • Unter Bezug auf die 17A und 17B wird das erste leitfähige Material 104 gemäß einigen Ausführungsformen in den Öffnungen 103 abgeschieden. In einigen Ausführungsformen kann vor dem Befüllen der Öffnungen 103 mit dem ersten leitfähigen Material 104 ein Reinigungsprozess durchgeführt werden. In einigen Ausführungsformen kann der Reinigungsprozess ein Plasmareinigungsprozess unter Verwendung eines Prozessgases sein, das H2, BCl3, NF3, HF, HCl, SiCl4, Cl2, SF6, CF4, CHxFy, He, Ar, dergleichen, oder eine Kombination davon umfasst. Es sind auch andere Reinigungsprozesse möglich.
  • In einigen Ausführungsformen kann das erste leitfähige Material 104 ein oder mehrere metallische Materialien wie zum Beispiel Ru, Ir, Ni, Os, Rh, Al, Mo, W, Co, Cu, Ag, eine Kombination davon, oder dergleichen umfassen. Das erste leitfähige Material 104 kann unter Verwendung einer geeigneten Technik wie zum Beispiel CVD, PVD, ALD, eines elektrochemischen Plattierungsprozesses, eines stromlosen Plattierungsprozesses, einer Kombination davon, oder dergleichen abgeschieden werden. Es sind auch andere Materialien oder Abscheidungstechniken möglich. In einigen Ausführungsformen wird das erste leitfähige Material 104 konform als eine Schicht an Seitenwandflächen und Bodenflächen der Öffnungen 103 abgeschieden, wie zum Beispiel auf freiliegenden Oberflächen der Source/Drain-Regionen 82. Das erste leitfähige Material 104 kann auch über dem zweiten ILD 102 abgeschieden werden. In einigen Ausführungsformen wird das erste leitfähige Material 104 auf eine Dicke abgeschieden, die dick genug ist, um eine kontinuierliche Abdeckung der Seitenwandflächen und Bodenflächen der Öffnungen 103 zu ermöglichen. In einigen Ausführungsformen wird das erste leitfähige Material 104 auf eine Dicke abgeschieden, die dünn genug ist, um das Abscheiden des zweiten leitfähigen Materials 106 darauf zu ermöglichen, ohne dass Nähte oder Hohlräume entstehen. Zum Beispiel kann in einigen Ausführungsformen das erste leitfähige Material 104 auf eine Dicke im Bereich von etwa 5 Å bis etwa 100 Å abgeschieden werden, obgleich auch andere Dicken möglich sind. In einigen Fällen können verschiedene Regionen des ersten leitfähigen Materials 104 innerhalb der Öffnungen 103 unterschiedliche Dicken aufweisen.
  • In einigen Fällen können leitfähige Strukturelemente, die aus einem metallischen Material gebildet sind, einen erhöhten Widerstand aufweisen, wenn eine oder mehrere Dimensionen (zum Beispiel die Größe) der leitfähigen Strukturelemente etwa so groß sind wie, oder kleiner sind als, die mittlere freie Weglänge der Elektronen des metallischen Materials. In einigen Fällen kann zum Beispiel ein Dünnfilm (zum Beispiel mit einer Dicke von 10 nm oder weniger, obwohl auch andere Dicken möglich sind) eines metallischen Materials einen größeren spezifischen Widerstand aufweisen als dieses metallische Material im Volumen. Diese Erhöhung des spezifischen Widerstandes bei kleinen Strukturelementgrößen oder Dünnfilmen kann zum Beispiel auf Elektronenstreueffekte zurückzuführen sein. Somit kann in einigen Fällen die Verwendung metallischer Materialien mit einer relativ kleinen mittleren freien Weglänge der Elektronen den Widerstand einiger relativ kleiner leitfähiger Strukturelemente verringern. Dementsprechend kann in einigen Ausführungsformen das erste leitfähige Material 104 ein metallisches Material mit einer relativ kleinen mittleren freien Weglänge der Elektronen umfassen. Zum Beispiel kann in einigen Ausführungsformen das metallische Material des ersten leitfähigen Materials 104 ein Metall wie zum Beispiel Rh, Ir, Ru, Ni, Os, Mo oder dergleichen umfassen, das eine mittlere freie Weglänge der Elektronen aufweist, die kleiner ist als die mittlere freie Weglänge der Elektronen anderer Metalle, wie zum Beispiel W, Co, Cu, Ag oder dergleichen. Zum Beispiel ist in einigen Ausführungsformen das metallische Material des ersten leitfähigen Materials 104 Ru, obgleich in anderen Ausführungsformen auch andere metallische Materialien verwendet werden können. Auf diese Weise kann die Wahl des metallischen Materials des ersten leitfähigen Materials 104 einen geringeren spezifischen Widerstand der anschließend gebildeten leitfähigen Strukturelemente 108 ermöglichen (siehe 20B).
  • In einigen Ausführungsformen, in denen das erste leitfähige Material 104 Ru umfasst, kann das erste leitfähige Material 104 mittels CVD, PECVD, ALD oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann der Abscheidungsprozess unter Verwendung eines geeigneten Vorläufergases durchgeführt werden, wie zum Beispiel Ru(CO)5, Ru3(CO)12, RuCl3, Ru(od)3, Bis(cyclopentadienyl)ruthenium(II), Ru(CO)3C6H8, Ru(CO)2(tmhd)2, Ru(EtCp)2, Ru(CO)2(acac)2, Ru(C6H6)(C6H8), Ru(DMBD)(CO)3, Ru-Vorläufer auf Amidamat- oder Hexadien-Basis, dergleichen, oder einer Kombination davon. In einigen Ausführungsformen kann das Vorläufergas eine Durchflussrate im Bereich von etwa 10 sccm bis etwa 100 sccm haben. In einigen Ausführungsformen können während des Abscheidens zusätzlich zu dem Vorläufergas auch ein Trägergas und/oder zusätzliche Prozessgase verwendet werden. Das Trägergas kann N2, Ar, CO, O2, ein Gemisch davon oder dergleichen umfassen. Das Trägergas kann eine Strömungsrate im Bereich von etwa 50 sccm bis etwa 500 sccm haben. Das zusätzliche Prozessgas kann H2, O2, NH3, ein Gemisch davon oder dergleichen umfassen. Das zusätzliche Prozessgas kann eine Strömungsrate im Bereich von etwa 100 sccm bis etwa 1000 sccm haben. In einigen Ausführungsformen kann der Abscheidungsprozess bei einer Prozesstemperatur im Bereich von etwa 75°C bis etwa 300°C durchgeführt werden. In einigen Ausführungsformen kann der Abscheidungsprozess bei einem Prozessdruck im Bereich von etwa 0,1 mTorr bis etwa 10 mTorr durchgeführt werden. Es sind auch andere Abscheidungstechniken oder Parameter möglich.
  • In einigen Ausführungsformen, in denen das erste leitfähige Material 104 Os umfasst, kann das erste leitfähige Material 104 durch CVD, PECVD, ALD oder dergleichen unter Verwendung eines geeigneten Vorläufergases, wie zum Beispiel Os3(CO)12 oder dergleichen, abgeschieden werden. In einigen Ausführungsformen, in denen das erste leitfähige Material 104 Rh umfasst, kann das erste leitfähige Material 104 durch CVD, PECVD, ALD oder dergleichen unter Verwendung eines geeigneten Vorläufergases, wie zum Beispiel Rh6(CO)16 oder dergleichen, abgeschieden werden. In einigen Ausführungsformen, in denen das erste leitfähige Material 104 Mo enthält, kann das erste leitfähige Material 104 durch CVD, PECVD, ALD oder dergleichen unter Verwendung eines geeigneten Vorläufergases, wie zum Beispiel MoF6, Mo(CO)6, MoCl5, MoOxCly, oder dergleichen, abgeschieden werden. Es sind auch andere Materialien und Vorläufergase möglich.
  • In den 18A und 18B wird das zweite leitfähige Material 106 gemäß einigen Ausführungsformen über dem ersten leitfähigen Material 104 abgeschieden. In einigen Ausführungsformen wird das zweite leitfähige Material 106 auf das erste leitfähige Material 104 abgeschieden und füllt die Öffnungen 103. Das erste leitfähige Material 104 kann auch über dem zweiten ILD 102 abgeschieden werden. In einigen Ausführungsformen kann das zweite leitfähige Material 106 ein oder mehrere metallische Materialien wie zum Beispiel Ru, Ir, Ni, Os, Rh, Al, Mo, W, Co, Cu, Ag, eine Kombination davon, oder dergleichen umfassen. In einigen Ausführungsformen ist das zweite leitfähige Material 106 ein anderes Material als das erste leitfähige Material 104. In einigen Ausführungsformen kann das zweite leitfähige Material 106 ein Material sein, das weniger anfällig für Nahtverschmelzung ist als das erste leitfähige Material 104. Durch Füllen der Öffnungen 103 mit einem zweiten leitfähigen Material 106, das weniger anfällig für Nahtverschmelzung ist, können Effekte aufgrund von Nahtverschmelzung, wie zum Beispiel Verspannung oder Biegen, reduziert werden. Als ein Beispiel kann in einigen Ausführungsformen das zweite leitfähige Material 106 Co sein, das relativ weniger für Nahtverschmelzung anfällig sein kann, und das erste leitfähige Material 104 kann Ru sein, das relativ stärker für Nahtverschmelzung anfällig sein kann. Dies ist ein Beispiel, und in anderen Ausführungsformen können andere Materialien oder Kombinationen von Materialien verwendet werden.
  • In einigen Fällen ermöglicht das Vorhandensein des ersten leitfähigen Materials 104 eine bessere Adhäsion des zweiten leitfähigen Materials 106 innerhalb der Öffnungen 103. In einigen Ausführungsformen kann das zweite leitfähige Material 106 eine größere mittlere freie Weglänge der Elektronen oder einen größeren spezifischen Widerstand als das erste leitfähige Material 104 aufweisen. In einigen Ausführungsformen kann das zweite leitfähige Material 106 einen kleineren Wärmeausdehnungskoeffizienten (WAK) als das erste leitfähige Material 104 haben, was unten noch ausführlicher beschrieben wird. In einigen Ausführungsformen ist das zweite leitfähige Material 106 ein Material, das ein homogenes Gemisch mit dem ersten leitfähigen Material 104 bilden kann, was unten noch ausführlicher beschrieben wird.
  • Das zweite leitfähige Material 106 kann unter Verwendung einer geeigneten Technik wie zum Beispiel CVD, PVD, ALD, eines elektrochemischen Plattierungsprozesses, eines stromlosen Plattierungsprozesses, einer Kombination davon, oder dergleichen abgeschieden werden. Es sind auch andere Materialien oder Abscheidungstechniken möglich. In einigen Ausführungsformen wird das erste leitfähige Material 104 auf eine Dicke abgeschieden, die dick genug ist, um die Öffnungen 103 zu füllen, ohne dass Nähte oder Hohlräume entstehen. Zum Beispiel kann in einigen Ausführungsformen das zweite leitfähige Material 106 auf eine Dicke im Bereich von etwa 5 Å bis etwa 3000 Å abgeschieden werden, obgleich auch andere Dicken möglich sind. In einigen Ausführungsformen kann das Verhältnis der Dicke des ersten leitfähigen Materials 104 zur Dicke des zweiten leitfähigen Materials 106 im Bereich von etwa 1:1,5 bis etwa 1:9 liegen, obgleich auch andere Verhältnisse möglich sind.
  • In einigen Ausführungsformen kann das zweite leitfähige Material 106 ein Material sein, das einen kleineren Wärmeausdehnungskoeffizienten (WAK) hat als das erste leitfähige Material 104. In einigen Fällen kann ein Material mit einem relativ hohen WAK bei der anschließenden Verarbeitung bei höheren Temperaturen, wie zum Beispiel während Temperungsschritten oder anderen Verarbeitungsschritten, Verspannungen oder Biegen (zum Beispiel „thermisches Knicken“) verursachen. In einigen Fällen kann das Bilden leitfähiger Strukturelemente aus einem leitfähigen Material mit einem relativ hohen WAK zu Verspannungen, Biegen und Prozessdefekten aufgrund von Wärmeausdehnung führen. Somit kann durch Bilden leitfähiger Strukturelemente unter Verwendung sowohl eines ersten leitfähigen Materials 104 mit einem relativ größeren WAK als auch eines zweiten leitfähigen Materials 106 mit einem relativ kleineren WAK die Verspannung oder das Biegen aufgrund von Wärmeausdehnung reduziert werden, was die Produktionsausbeute, die Zuverlässigkeit der Vorrichtungen oder die Leistung der Vorrichtungen erhöhen kann. Als ein Beispiel kann in einigen Ausführungsformen das erste leitfähige Material 104 Ru sein, das einen relativ größeren WAK hat, und das zweite leitfähige Material 106 kann Co sein, das einen relativ kleineren WAK hat. Dies ist ein Beispiel, und in anderen Ausführungsformen können andere Materialien oder Kombinationen von Materialien verwendet werden.
  • In einigen Ausführungsformen, in denen das zweite leitfähige Material 106 Co umfasst, kann das zweite leitfähige Material 106 durch CVD, PECVD, ALD oder dergleichen unter Verwendung eines geeigneten Vorläufergases, wie zum Beispiel Co4(CO)12, Co2(CO)8 oder dergleichen, abgeschieden werden. In einigen Ausführungsformen, in denen das zweite leitfähige Material 106 W umfasst, kann das zweite leitfähige Material 106 durch CVD, PECVD, ALD oder dergleichen unter Verwendung eines geeigneten Vorläufergases, wie zum Beispiel W(CO)6, W(F)6 oder dergleichen, abgeschieden werden. Es sind auch andere Materialien und Vorläufergase möglich.
  • In den 19A-B wird gemäß einigen Ausführungsformen ein thermischer Prozess 107 an dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 durchgeführt. Der thermische Prozess 107 bewerkstelligt die Interdiffusion des ersten leitfähigen Materials 104 und/oder des zweiten leitfähigen Materials 106, wodurch in einigen Ausführungsformen eine Vermischungsgrenzfläche 105 zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 gebildet werden kann. Die Vermischungsgrenzfläche 105 umfasst Regionen, in denen sowohl das erste leitfähige Material 104 als auch das zweite leitfähige Material 106 vorhanden sind (zum Beispiel Konzentrationen ungleich null haben). Als ein Beispiel kann das Durchführen des thermischen Prozesses 107 dazu führen, dass ein abruptes (zum Beispiel stufenförmiges) Konzentrationsprofil zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 (wie zum Beispiel in den 18A-B gezeigt) zu einem gleichmäßigeren (zum Beispiel gradientenförmigen) Konzentrationsprofil zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 wird (wie zum Beispiel durch die Vermischungsgrenzfläche 105 in den 19A-B gezeigt). In einigen Ausführungsformen ist die Vermischungsgrenzfläche 105 durch Regionen des ersten leitfähigen Materials 104 und/oder Regionen des zweiten leitfähigen Materials 106 umgrenzt. In einigen Ausführungsformen kann das erste leitfähige Material 104 während des thermischen Prozesses 107 über eine Distanz im Bereich von etwa 0,1 Å bis etwa 10 Å in das zweite leitfähige Material 106 diffundieren. In einigen Ausführungsformen kann das zweite leitfähige Material 106 während des thermischen Prozesses 107 über eine Distanz im Bereich von etwa 0,1 Å bis etwa 10 Å in das erste leitfähige Material 104 diffundieren. Auf diese Weise kann in einigen Ausführungsformen die Vermischungsgrenzfläche 105 eine Breite im Bereich von etwa 0,1 Å bis etwa 10 Å haben. Es sind auch andere Diffusionsdistanzen oder Breiten der Vermischungsgrenzfläche 105 möglich.
  • In einigen Ausführungsformen können das erste leitfähige Material 104 und das zweite leitfähige Material 106 unterschiedliche Materialien sein, die in der Lage sind, ein homogenes Gemisch zu bilden. In einigen Ausführungsformen können das erste leitfähige Material 104 und das zweite leitfähige Material 106 bei Betriebsdrücken und/oder Betriebstemperaturen der Vorrichtung ein homogenes Gemisch mit beliebigem Verhältnis bilden. Zum Beispiel können Ru und Co bei atmosphärischem Druck ein homogenes Gemisch mit beliebigem Verhältnis bilden, obgleich auch andere Materialien möglich sind. In anderen Ausführungsformen können das erste leitfähige Material 104 und das zweite leitfähige Material 106 ein homogenes Gemisch bilden, das einen Bereich von Verhältnissen aufweist und/oder innerhalb eines Bereichs von Temperaturen oder Drücken liegt. In einigen Fällen kann ein homogenes Gemisch aus dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 eine Vermischungsgrenzfläche 105 bilden, die ein gleichmäßigeres (zum Beispiel weniger abruptes) Konzentrationsprofil zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 aufweist und zum Beispiel zum Beispiel ein Gradientenkonzentrationsprofil hat. In einigen Fällen kann das Bilden einer homogenen Vermischungsgrenzfläche 105, die ein Gradientenkonzentrationsprofil aufweist, auf diese Weise die Grenzflächenstreuung von Elektronen, die zwischen dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 fließen, verringern. Zum Beispiel kann eine homogene Vermischungsgrenzfläche 105, die ein Gradientenkonzentrationsprofil aufweist, eine wesentlich geringere Größenordnung einer Elektronenstreuung aufweisen als eine Grenzfläche, die ein abruptes Konzentrationsprofil aufweist. Indem die Elektronenstreuung auf diese Weise reduziert wird, kann der Widerstand leitfähiger Strukturelemente, die sowohl aus dem ersten leitfähigen Material 104 als auch aus dem zweiten leitfähigen Material 106 gebildet werden, dank des Durchführens eines thermischen Prozesses 107, wie im vorliegenden Text beschrieben, verringert werden. Auf diese Weise können leitfähige Strukturen aus mehreren leitfähigen Materialien gebildet werden, ohne den Widerstand wesentlich zu erhöhen.
  • In einigen Ausführungsformen kann der thermische Prozess 107 zum Beispiel ein Temperungsprozess sein, wie zum Beispiel ein schneller thermischer Temperungsprozess (Rapid Thermal Anneal, RTA) oder dergleichen. Der thermische Prozess 107 kann in einigen Ausführungsformen bei einer Temperatur im Bereich von etwa 100°C bis etwa 1000°C durchgeführt werden. Der thermische Prozess 107 kann in einigen Ausführungsformen über eine Zeitdauer im Bereich von etwa 1 Sekunde bis etwa 300 Sekunden durchgeführt werden. In einigen Ausführungsformen kann der thermische Prozess 107 in einer Gasumgebung durchgeführt werden, die N2, He, Ar, H2, ein Formiergas (wie zum Beispiel 5 Volumen-% H2 in N2), ein Gemisch davon, oder dergleichen umfasst. Es sind auch andere Temperaturen, Zeitspannen oder andere Prozessbedingungen für den thermischen Prozess 107 möglich.
  • In den 20A-B wird gemäß einigen Ausführungsformen ein Planarisierungsprozess durchgeführt, um überschüssiges erstes leitfähiges Material 104 und zweites leitfähiges Material 106 zu entfernen und leitfähige Strukturelemente 108 zu bilden. Der Planarisierungsprozess kann ein Schleifprozess, ein CMP-Prozess oder dergleichen sein. In einigen Ausführungsformen können die Oberflächen der leitfähigen Strukturelemente 108 und des zweiten ILD 102 nach dem Durchführen des Planarisierungsprozesses im Wesentlichen bündig sein. 20A-B zeigen die leitfähigen Strukturelemente 108 mit im Wesentlichen vertikalen Seitenwänden, aber die leitfähigen Strukturelemente 108 können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • Die leitfähigen Strukturelemente 108 können Regionen des ersten leitfähigen Materials 104, Regionen des zweiten leitfähigen Materials 106 und/oder Vermischungsgrenzflächen 105 umfassen (zum Beispiel Regionen, die ein Gemisch aus dem ersten leitfähigen Material 104 und dem zweiten leitfähigen Material 106 umfassen). Zum Beispiel umfassen in einigen Ausführungsformen die leitfähigen Strukturelemente 108 äußere Regionen des ersten leitfähigen Materials 104, die innere Regionen des zweiten leitfähigen Materials 106 mindestens teilweise umgeben, wobei sich die Vermischungsgrenzflächen 105 zwischen den äußeren Regionen des ersten leitfähigen Materials 104 und den inneren Regionen des zweiten leitfähigen Materials 106 erstrecken. In anderen Ausführungsformen brauchen die leitfähigen Strukturelemente 108 nur eine Vermischungsgrenzfläche 105, nur Vermischungsgrenzflächen 105 und das erste leitfähige Material 104, oder nur Vermischungsgrenzflächen 105 und das zweite leitfähige Material 106 zu umfassen. In einigen Ausführungsformen erstreckt sich eine Vermischungsgrenzfläche 105 von dem ersten leitfähigen Material 104 nahe einer ersten Seite eines leitfähigen Strukturelements 108 zu dem ersten leitfähigen Material 104 nahe einer zweiten Seite des leitfähigen Strukturelements 108, die der ersten Seite gegenüberliegt. In einigen Ausführungsformen erstreckt sich eine Vermischungsgrenzfläche 105 vollständig von einer ersten Seite eines leitfähigen Strukturelements 108 zu einer zweiten Seite des leitfähigen Strukturelements 108, die der ersten Seite gegenüberliegt. In einigen Ausführungsformen erstreckt sich eine Vermischungsgrenzfläche 105 bis zum Boden eines leitfähigen Strukturelements 108 (zum Beispiel bis zur entsprechenden Source/Drain-Region 82). Nach dem Durchführen des Planarisierungsprozesses können die Oberseiten der leitfähigen Strukturelemente 108 in einigen Ausführungsformen freiliegende Oberflächen des ersten leitfähigen Materials 104, des zweiten leitfähigen Materials 106 und/oder einer Vermischungsgrenzfläche 105 aufweisen.
  • 20A bis 23B veranschaulichen die Bildung leitfähiger Strukturelemente 114A, 114B und 114C (siehe 23A-B) gemäß einigen Ausführungsformen. Die leitfähigen Strukturelemente 114A stellen elektrische Verbindungen zu den Gate-Elektroden 94 der jeweiligen Gate-Stapel bereit und können in einigen Fällen als „Gate-Kontaktstecker“ oder dergleichen angesehen werden. Die leitfähigen Strukturelemente 114B stellen elektrische Verbindungen zu jeweiligen leitfähigen Strukturelementen 108 bereit und können in einigen Fällen als „leitfähige Durchkontaktierungen“ oder dergleichen angesehen werden. Die leitfähigen Strukturelemente 114C sind sowohl mit den leitfähigen Strukturelementen 108 als auch mit den Gate-Elektroden 94 elektrisch verbunden. In den 23A-B sind die leitfähigen Strukturelemente 114A, 114B und 114C in derselben Ebene veranschaulicht, aber in anderen Ausführungsformen können die leitfähigen Strukturelemente 114A, 114B und/oder 114C auch in verschiedenen Ebenen ausgebildet sein. Der in den 20A-23B gezeigte Prozess ist ein Beispiel, und die leitfähigen Strukturelemente 114A-C können unter Verwendung jedes geeigneten Prozesses, wie zum Beispiel eines Damaszenprozesses, eines Doppeldamaszenprozesses oder eines anderen Prozesses gebildet werden.
  • In den 21A und 21B wird eine dielektrische Schicht 112 über dem zweiten ILD 102 und den leitfähigen Elementen 108 abgeschieden. In einigen Ausführungsformen ist die dielektrische Schicht 112 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen kann die dielektrische Schicht 112 ein Material sein, das dem des ersten ILD 88 oder des zweiten ILD 102 ähnelt, und kann auf ähnliche Weise gebildet werden. Zum Beispiel kann dielektrische Schicht 112 aus einem dielektrischen Material wie zum Beispiel einem Oxid, PSG, BSG, BPSG, USG oder dergleichen gebildet werden und kann durch ein beliebiges geeignetes Verfahren, wie zum Beispiel CVD oder PECVD, abgeschieden werden. Die dielektrische Schicht 112 kann auch ein anderen Material als diese Beispiele sein. In einigen Fällen kann die dielektrische Schicht 112 als ein „drittes ILD“ angesehen werden. In einigen Ausführungsformen wird vor dem Abscheiden der dielektrischen Schicht 112 eine optionale Ätzstoppschicht 110 gebildet. Die Ätzstoppschicht 110 kann ein Material sein, das denen ähnelt, die für die Ätzstoppschicht 100 beschrieben wurden (siehe 15A-B), und kann unter Verwendung ähnlicher Techniken gebildet werden, oder die Ätzstoppschicht 110 kann ein anderes Material als jene sein, die für die Ätzstoppschicht 100 beschrieben wurden.
  • 22A und 22B veranschaulichen einen Strukturierungsprozess der dielektrischen Schicht 112, der Ätzstoppschicht 110, des zweiten ILD 102 und der Ätzstoppschicht 100 zum Bilden von Öffnungen 113A-C gemäß einigen Ausführungsformen. Die Öffnungen 113A-C können Oberflächen von Gate-Elektroden 94 und/oder leitfähigen Strukturelementen 108 freilegen. Zum Beispiel können Öffnungen 113A Oberflächen der Gate-Elektroden 94 freilegen, und Öffnungen 113B können Oberflächen der leitfähigen Strukturelemente 108 freilegen. In einigen Ausführungsformen können die Öffnungen 113C Oberflächen der Gate-Elektroden 94, Oberflächen von leitfähigen Strukturelementen 108 und Oberseiten des zweiten ILD 102 freilegen.
  • Das Strukturieren der Öffnungen 113A-C kann unter Verwendung einer oder mehrerer akzeptabler Fotolithografie- und Ätztechniken durchgeführt werden. Zum Beispiel kann ein Photoresist über der dielektrischen Schicht 112 gebildet und strukturiert werden. Der Photoresist kann zum Beispiel unter Verwendung einer Aufschleudertechnik gebildet werden und kann unter Verwendung akzeptabler Fotolithografietechniken strukturiert werden. Ein oder mehrere geeignete Ätzprozesse können unter Verwendung des strukturierten Photoresists als eine Ätzmaske durchgeführt werden, wodurch die Öffnungen 113A-C gebildet werden. Der eine oder die mehreren Ätzprozesse können in einigen Ausführungsformen Nass- und/oder Trockenätzprozesse umfassen, die denen ähneln können, die zuvor für das Bilden der Öffnungen 103 beschrieben wurden (siehe 16A-B). Die Öffnungen 113A, 113B und/oder 113C können gleichzeitig oder in getrennten Strukturierungsschritten strukturiert werden. 22A-B zeigen die Öffnungen 113A-C mit im Wesentlichen vertikalen Seitenwänden, aber die Öffnungen 113A-C können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • In den 23A und 23B wird gemäß einigen Ausführungsformen ein leitfähiges Material in die Öffnungen 113A-C abgeschieden, wodurch leitfähige Strukturelemente 114A-C gebildet werden. Das leitfähige Material füllt die Öffnungen 113A-C, um die leitfähigen Strukturelemente 114A-C zu bilden. In einigen Ausführungsformen kann das leitfähige Material ein oder mehrere metallische Materialien wie zum Beispiel Ru, Ir, Ni, Os, Rh, Al, Mo, W, Co, Cu, Ag, eine Kombination davon, oder dergleichen umfassen. Das leitfähige Material kann unter Verwendung einer geeigneten Technik wie zum Beispiel CVD, PVD, ALD, eines elektrochemischen Plattierungsprozesses, eines stromlosen Plattierungsprozesses, einer Kombination davon, oder dergleichen abgeschieden werden. Es sind auch andere Materialien oder Abscheidungstechniken möglich. In einigen Ausführungsformen ähnelt das leitfähige Material dem ersten leitfähigen Material 104 oder dem zweiten leitfähigen Material 106 der leitfähigen Strukturelemente 108 und kann auf ähnliche Weise gebildet werden. Zum Beispiel umfassen in einigen Ausführungsformen die leitfähigen Strukturelemente 108 Ru und Co, und das leitfähige Material ist Ru oder Co. Es sind auch andere Materialien oder Materialkombinationen möglich. In anderen Ausführungsformen ist das leitfähige Material ein anderes als das erste leitfähige Material 104 und/oder das zweite leitfähige Material 106. Das leitfähige Material kann über der dielektrischen Schicht 112 abgeschieden werden. Ein Planarisierungsprozess (zum Beispiel Schleifen, CMP oder dergleichen) kann durchgeführt werden, um überschüssiges leitfähiges Material von der dielektrischen Schicht 112 zu entfernen, und kann dazu führen, dass Oberflächen des dielektrischen Materials 112 und der leitfähigen Strukturelemente 114A-C im Wesentlichen bündig sind. 23A-B zeigen die leitfähigen Strukturelemente 114A-C mit im Wesentlichen vertikalen Seitenwänden, aber die leitfähigen Strukturelemente 114A-C können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • 24A und 24B veranschaulichen gemäß einigen Ausführungsformen die Bildung leitfähiger Strukturelemente 115A-C, die ein erstes leitfähiges Material 116 und ein zweites leitfähiges Material 118 umfassen. Die leitfähigen Strukturelemente 115A-C ähneln den leitfähigen Strukturelementen 114A-C der 23A-B, mit der Ausnahme, dass die leitfähigen Strukturelemente 115A-C aus mehr als einem leitfähigen Material gebildet sind. In einigen Ausführungsformen werden die leitfähigen Strukturelemente 115A-C aus einem ersten leitfähigen Material 116 und einem zweiten leitfähigen Material 118 gebildet. Das erste leitfähige Material 116 kann ein Material sein, das denen ähnelt, die für das erste leitfähige Material 104 beschrieben wurden (siehe 17A-B), und kann unter Verwendung ähnlicher Techniken abgeschieden werden. Das zweite leitfähige Material 118 kann ein Material sein, das denen ähnelt, die für das zweite leitfähige Material 106 beschrieben wurden (siehe 18A-B), und können unter Verwendung ähnlicher Techniken abgeschiedenen werden. Es sind auch andere Materialien und Abscheidungstechniken möglich. Das erste leitfähige Material 116 und/oder das zweite leitfähige Material 118 der leitfähigen Strukturelemente 115A-C können das gleiche sein wie, oder können ein anderes sein als, das erste leitfähige Material 104 und/oder das zweite leitfähige Material 106 der darunter liegenden leitfähigen Strukturelemente 108.
  • In einigen Ausführungsformen werden die leitfähigen Strukturelemente 115A-C unter Verwendung eines Prozesses gebildet, der dem ähnelt, der zuvor für die leitfähigen Strukturelemente 108 beschrieben wurde. Zum Beispiel können die leitfähigen Strukturelemente 115A-C durch Abscheiden des ersten leitfähigen Materials 116 innerhalb der Öffnungen 113A-C und anschließendes Abscheiden des zweiten leitfähigen Materials 118 über dem ersten leitfähigen Material 116 gebildet werden. In einigen Ausführungsformen wird nach dem Abscheiden des zweiten leitfähigen Materials 118 ein thermischer Prozess durchgeführt, der eine Vermischungsgrenzfläche 117 zwischen dem ersten leitfähigen Material 116 und dem zweiten leitfähigen Material 118 bildet, was den Widerstand der leitfähigen Strukturelemente 115A-C verringern kann. Der thermische Prozess kann dem zuvor beschriebenen thermischen Prozess 107 ähneln, und die Vermischungsgrenzfläche 117 kann Charakteristiken aufweisen, die denen ähneln, die für die zuvor beschriebene Vermischungsgrenzfläche 105 beschrieben wurden (siehe 19A-B). In einigen Ausführungsformen wird vor dem Bilden der leitfähigen Strukturelemente 115A-C ein erster thermischer Prozess durchgeführt, um die Vermischungsgrenzfläche 105 der leitfähigen Strukturelemente 108 zu bilden. Anschließend wird ein zweiter thermischer Prozess durchgeführt, um die Vermischungsgrenzfläche 117 der leitfähigen Strukturelemente 115A-C zu bilden. In anderen Ausführungsformen wird ein einzelner thermischer Prozess durchgeführt, um sowohl die Vermischungsgrenzfläche 105 der leitfähigen Strukturelemente 108 als auch die Vermischungsgrenzfläche 117 der leitfähigen Strukturelemente 115A-C zu bilden. Durch Bilden der leitfähigen Strukturelemente 115A-C auf diese Weise können die leitfähigen Strukturelemente 115A-C ähnliche Vorteile aufweisen, wie sie zuvor für die leitfähigen Strukturelemente 108 beschrieben wurden, wie zum Beispiel verbesserte Zuverlässigkeit und verringerter Widerstand.
  • 25A und 25B veranschaulichen die Bildung leitfähiger Strukturelemente 122A-C und leitfähiger Strukturelemente 128A-C gemäß einigen Ausführungsformen. Die in den 25A-B gezeigte Struktur kann anschließend aus der in den 23A-B gezeigten Struktur herausgearbeitet werden. In anderen Ausführungsformen kann anschließend eine Struktur, die der in den 25A-B gezeigten ähnelt, aus der in den 24A-B gezeigten Struktur herausgearbeitet werden. Die leitfähigen Strukturelemente 122A-C und die leitfähigen Strukturelemente 128A-C können elektrisch mit leitfähigen Strukturelementen 11A-C4 verbunden sein und können zusätzliche elektrische Interconnect-Verbindungen innerhalb der Struktur bereitstellen. Auf diese Weise können die leitfähigen Strukturelemente 122A-C und/oder die leitfähigen Strukturelemente 128A-C elektrische Routungen, leitfähige Durchkontaktierungen, Leitungen oder dergleichen umfassen. In einigen Ausführungsformen können zusätzliche Schichten leitfähiger Strukturelemente auf den leitfähigen Strukturelementen 128A-C gebildet werden. In einigen Ausführungsformen werden entweder die leitfähigen Strukturelemente 122A-C oder die leitfähigen Strukturelemente 128A-C oder sowohl die leitfähigen Strukturelemente 122A-C als auch die leitfähigen Strukturelemente 128A-C nicht gebildet. Die leitfähigen Strukturelemente 122A-C und die leitfähigen Strukturelemente 128A-C können unter Verwendung eines geeigneten Prozesses, wie zum Beispiel eines Damaszenprozesses, eines Dual-Damaszenprozesses oder eines anderen Prozesses, hergestellt werden. In einigen Ausführungsformen können die leitfähigen Strukturelemente 122A-C und/oder die leitfähigen Strukturelemente 128A-C unter Verwendung eines Prozesses, der jenem ähnelt, der für das Bilden der leitfähigen Strukturelemente 115A-C beschrieben wurde, gebildet werden.
  • Als ein beispielhafter Prozess zum Bilden der leitfähigen Strukturelemente 122A-C kann eine dielektrische Schicht 120 über der dielektrischen Schicht 112 und den leitfähigen Strukturelementen 114A-C gebildet werden. Die dielektrische Schicht 120 kann der dielektrischen Schicht 112 ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Zwischen der dielektrischen Schicht 112 und der dielektrischen Schicht 120 kann eine optionale Ätzstoppschicht 118 gebildet werden, die der zuvor beschriebenen Ätzstoppschicht 110 ähneln kann. Es sind auch andere Materialien oder Techniken möglich. Anschließend können Öffnungen in der dielektrischen Schicht 120 und der Ätzstoppschicht 118 strukturiert werden, um Oberflächen der leitfähigen Strukturelemente 114A-C freizulegen. In den Öffnungen kann ein leitfähiges Material abgeschieden werden, um die leitfähigen Strukturelemente 122A-C zu bilden. Das leitfähige Material kann jenen ähneln, die für die leitfähigen Strukturelemente 114A-C beschrieben wurden (siehe 23A-B), und kann auf ähnliche Weise gebildet werden. Es sind auch andere leitfähige Materialien möglich. Ein Planarisierungsprozess kann durchgeführt werden, um überschüssiges leitfähiges Material von der dielektrischen Schicht 120 zu entfernen. 25A-B zeigen die leitfähigen Strukturelemente 122A-C mit im Wesentlichen vertikalen Seitenwänden, aber die leitfähigen Strukturelemente 122A-C können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • In einigen Ausführungsformen können die leitfähigen Strukturelemente 122A-C in einer ähnlichen Weise wie die leitfähigen Strukturelemente 115A-C (siehe 24A-B) oder die unten für die 26A-F beschriebenen leitfähigen Strukturelemente 210 gebildet werden. Zum Beispiel kann ein erstes leitfähiges Material in den Öffnungen abgeschieden werden, und ein zweites leitfähiges Material wird dann auf das erste leitfähige Material abgeschieden. Anschließend kann ein thermischer Prozess durchgeführt werden, um eine Vermischungsgrenzfläche zwischen dem ersten leitfähigen Material und dem zweiten leitfähigen Material zu bilden. Das erste leitfähige Material kann dem ersten leitfähigen Material 116 ähneln, das zweite leitfähige Material kann dem zweiten leitfähigen Material 118 ähneln, die Vermischungsgrenzfläche kann der Vermischungsgrenzfläche 117 ähneln, und der thermische Prozess kann dem thermischen Prozess 107 ähneln. Es sind auch andere Materialien oder Techniken möglich.
  • Als ein beispielhafter Prozess zum Bilden der leitfähigen Strukturelemente 128A-C kann eine dielektrische Schicht 126 über der dielektrischen Schicht 120 und den leitfähigen Strukturelementen 122A-C gebildet werden. Die dielektrische Schicht 126 kann der dielektrischen Schicht 112 ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Zwischen der dielektrischen Schicht 120 und der dielektrischen Schicht 126 kann eine optionale Ätzstoppschicht 124 gebildet werden, die der zuvor beschriebenen Ätzstoppschicht 110 ähneln kann. Es sind auch andere Materialien oder Techniken möglich. Anschließend können Öffnungen in der dielektrischen Schicht 126 und der Ätzstoppschicht 124 strukturiert werden, um Oberflächen der leitfähigen Strukturelemente 122A-C freizulegen. In den Öffnungen kann ein leitfähiges Material abgeschieden werden, um die leitfähigen Strukturelemente 128A-C zu bilden. Das leitfähige Material kann jenen ähneln, die für die leitfähigen Strukturelemente 114A-C beschrieben wurden (siehe 23A-B), und kann auf ähnliche Weise gebildet werden. Es sind auch andere leitfähige Materialien möglich. Ein Planarisierungsprozess kann durchgeführt werden, um überschüssiges leitfähiges Material von der dielektrischen Schicht 126 zu entfernen. 25A-B zeigen die leitfähigen Strukturelemente 128 mit im Wesentlichen vertikalen Seitenwänden, aber die leitfähigen Strukturelemente 128 können in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben.
  • In einigen Ausführungsformen können die leitfähigen Strukturelemente 128A-C in einer ähnlichen Weise wie die leitfähigen Strukturelemente 115A-C (siehe 24A-B) oder die unten für die 26A-F beschriebenen leitfähigen Strukturelemente 210 gebildet werden. Zum Beispiel kann ein erstes leitfähiges Material in den Öffnungen abgeschieden werden, und ein zweites leitfähiges Material wird dann auf das erste leitfähige Material abgeschieden. Anschließend kann ein thermischer Prozess durchgeführt werden, um eine Vermischungsgrenzfläche zwischen dem ersten leitfähigen Material und dem zweiten leitfähigen Material zu bilden. Das erste leitfähige Material kann dem ersten leitfähigen Material 116 ähneln, das zweite leitfähige Material kann dem zweiten leitfähigen Material 118 ähneln, die Vermischungsgrenzfläche kann der Vermischungsgrenzfläche 117 ähneln, und der thermische Prozess kann dem thermischen Prozess 107 ähneln. Es sind auch andere Materialien oder Techniken möglich.
  • Wir wenden uns den 26A bis 26F zu, wo Zwischenschritte bei der Bildung eines leitfähigen Strukturelements 210 (siehe 26E-F) gemäß einigen Ausführungsformen gezeigt sind. Das leitfähige Strukturelement 210 kann zum Beispiel eine Leitung, eine leitfähige Durchkontaktierung oder dergleichen sein. In einigen Ausführungsformen bildet das leitfähige Strukturelement 210 eine elektrische Verbindung zwischen einem unteren leitfähigen Strukturelement 202 und einem oberen leitfähigen Strukturelement 216, wie in 26F gezeigt. In einigen Ausführungsformen kann das leitfähige Strukturelement 210 als Teil eines Back-End-of-Line-Prozesses (BEOL-Prozesses) und/oder als Teil eines Middle-End-of-Line-Prozesses (MEOL-Prozesses) gebildet werden. In einigen Ausführungsformen können die in 20B gezeigten leitfähigen Strukturelemente 108, die in den 24A-B gezeigten leitfähigen Strukturelemente 115A-C, die in den 25A-B gezeigten leitfähigen Strukturelemente 122A-C, die in den 25A-B gezeigten leitfähigen Strukturelemente 128A-C und/oder andere im vorliegenden Text beschriebene leitfähige Strukturelemente dem leitfähigen Strukturelement 210 ähneln und unter Verwendung ähnlicher Techniken gebildet werden. In einigen Ausführungsformen wird das leitfähige Strukturelement 210 unter Verwendung von Techniken gebildet, die jenen ähneln, die für die leitfähigen Strukturelemente 108 beschrieben wurden (siehe 20A-B). Auf diese Weise können die zuvor für die leitfähigen Strukturelemente 108 beschriebenen Vorteile auch auf ein leitfähiges Strukturelement 210 Anwendung finden, das als Teil eines BEOL- oder MEOL-Prozesses oder dergleichen gebildet wird.
  • 26A zeigt eine Öffnung 203, die ein unteres leitfähiges Strukturelement 202 freilegt, gemäß einigen Ausführungsformen. Die in 26A gezeigte Struktur weist ein unteres leitfähiges Strukturelement 202 auf, das in einer dielektrischen Schicht 204 gebildet ist. Das untere leitfähige Strukturelement 202 kann unter Verwendung eines beliebigen geeigneten Prozesses, wie zum Beispiel eines Damaszenprozesses, eines Dualdamaszenprozesses oder eines anderen Prozesses, gebildet werden. Eine optionale Ätzstoppschicht 205 und eine dielektrische Schicht 206 werden über dem unteren leitfähigen Strukturelement 202 gebildet, und eine Öffnung 203 wird strukturiert, um das untere leitfähige Strukturelement 202 freizulegen. Die dielektrische Schicht 204 und/oder die dielektrische Schicht 206 können dem zweiten ILD 102 oder der dielektrischen Schicht 112, die zuvor beschrieben wurden, ähneln und können unter Verwendung ähnlicher Techniken gebildet werden. Die Ätzstoppschicht 205 kann der Ätzstoppschicht 110 oder der Ätzstoppschicht 118, die zuvor beschrieben wurden, ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Die Öffnung 203 kann unter Verwendung von Techniken strukturiert werden, die jenen ähneln, die für die Öffnungen 103 (siehe 16B) oder die Öffnungen 113A-C (siehe 22A-B) beschrieben wurden. Das untere leitfähige Strukturelement 202 kann eine Leitung, eine leitfähige Durchkontaktierung oder dergleichen sein und kann den leitfähigen Strukturelementen 108, 114A-C, 115A-C, 122A-C, 128A-C oder anderen im vorliegenden Text beschriebenen leitfähigen Strukturelementen ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Zum Beispiel kann das untere leitfähige Strukturelement 202 ein einzelnes leitfähiges Material ähnlich den leitfähigen Strukturelementen 114A-C oder mehrere leitfähige Materialien ähnlich den leitfähigen Strukturelementen 115A-C umfassen.
  • In 26B wird gemäß einigen Ausführungsformen ist ein erstes leitfähiges Material 207 in der Öffnung 203 und auf dem unteren leitfähigen Strukturelement 202 abgeschieden. Das erste leitfähige Material 207 kann konform an Seitenwänden der Öffnung 203 und auf der freiliegenden Oberfläche des unteren leitfähigen Strukturelements 202 abgeschieden werden. Das erste leitfähige Material 207 kann dem ersten leitfähigen Material 104 (siehe 17A-B) oder dem ersten leitfähigen Material 116 (siehe 24A-B) ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Das erste leitfähige Material 207 und das untere leitfähige Strukturelement 202 können in einigen Ausführungsformen das gleiche Material sein. In anderen Ausführungsformen ist das erste leitfähige Material 207 ein anderes Material als das untere leitfähige Strukturelement 202.
  • In 26C wird gemäß einigen Ausführungsformen ein zweites leitfähiges Material 209 in der Öffnung 203 und über dem ersten leitfähigen Material 207 abgeschieden. Das zweite leitfähige Material 209 kann die Öffnung 203 füllen. Das zweite leitfähige Material 209 kann dem zweiten leitfähigen Material 106 (siehe 18A-B) oder dem ersten leitfähigen Material 118 (siehe 24A-B) ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Das zweite leitfähige Material 209 und das untere leitfähige Strukturelement 202 können in einigen Ausführungsformen das gleiche Material sein. In anderen Ausführungsformen ist das zweite leitfähige Material 209 ein anderes Material als das untere leitfähige Strukturelement 202.
  • In 26D wird gemäß einigen Ausführungsformen ein thermischer Prozess 107 durchgeführt, der eine Vermischungsgrenzfläche 208 bildet. Der thermische Prozess 107 kann dem zuvor beschriebenen thermischen Prozess 107 ähneln (siehe 19A-B). Die Vermischungsgrenzfläche 208 ist ein Gemisch aus dem ersten leitfähigen Material 207 und dem zweiten leitfähigen Material 209 und kann der zuvor beschriebenen Vermischungsgrenzfläche 105 ähneln (siehe 19A-B). In 26E wird ein Planarisierungsprozess (zum Beispiel Schleifen, CMP oder dergleichen) durchgeführt, um überschüssiges erstes leitfähiges Material 207 und zweites leitfähiges Material 209 zu entfernen und so das leitfähige Strukturelement 210 zu bilden. Nach dem Durchführen des Planarisierungsprozesses können die dielektrische Schicht 206 und das leitfähige Strukturelement 210 im Wesentlichen bündige Oberflächen aufweisen. 26E-F zeigen das leitfähige Strukturelement 210 mit im Wesentlichen vertikalen Seitenwänden, aber das leitfähige Strukturelement 210 kann in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben, wovon unten Beispiele für die 29A-D beschrieben werden.
  • In 26F wird gemäß einigen Ausführungsformen ein oberes leitfähiges Strukturelement 216 auf dem leitfähigen Strukturelement 210 gebildet. Das obere leitfähige Strukturelement 216 kann eine Leitung, eine leitfähige Durchkontaktierung, oder dergleichen sein. Das obere leitfähige Strukturelement 216 kann unter Verwendung eines beliebigen geeigneten Prozesses, wie zum Beispiel eines Damaszenprozesses, eines Dualdamaszenprozesses oder eines anderen Prozesses, gebildet werden. In einigen Ausführungsformen kann das obere leitfähige Strukturelement 216 dem unteren leitfähigen Strukturelement 202 oder anderen im vorliegenden Text beschriebenen leitfähigen Strukturelementen ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Zum Beispiel kann das obere leitfähige Strukturelement 216 ein einzelnes leitfähiges Material ähnlich den leitfähigen Strukturelementen 114A-C oder mehrere leitfähige Materialien ähnlich den leitfähigen Strukturelementen 115A-C umfassen.
  • Als ein Beispiel für das Bilden des oberen leitfähigen Strukturelements 216 können eine optionale Ätzstoppschicht 212 und eine dielektrische Schicht 214 über dem leitfähigen Strukturelement 210 und der dielektrischen Schicht 206 gebildet werden. Eine Öffnung kann strukturiert werden, um das leitfähige Strukturelement 210 freizulegen, und leitfähiges Material kann in der Öffnung abgeschieden werden, um das obere leitfähige Strukturelement 216 zu bilden. Die dielektrische Schicht 214 kann der zuvor beschriebenen dielektrischen Schicht 206 ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Die Ätzstoppschicht 212 kann der zuvor beschriebenen Ätzstoppschicht 205 ähneln und kann unter Verwendung ähnlicher Techniken gebildet werden. Das leitfähige Material des oberen leitfähigen Strukturelements 216 kann das gleich sein wie, oder kann ein anderes sein als, das leitfähige Material des unteren leitfähigen Strukturelements 202. In einigen Ausführungsformen umfasst das leitfähige Material des oberen leitfähigen Strukturelements 216 das erste leitfähige Material 207 und/oder das zweite leitfähige Material 209.
  • In einigen Ausführungsformen kann ein thermischer Prozess durchgeführt werden, um Vermischungsgrenzflächen zwischen dem leitfähigen Strukturelement 210 und anderen leitfähigen Strukturelementen zu bilden. Zum Beispiel veranschaulichen 27A, 27B und 27C Zwischenschritte bei der Bildung einer Vermischungsgrenzfläche 218 zwischen dem leitfähigen Strukturelement 210 und dem unteren leitfähigen Strukturelement 202 und der Bildung einer Vermischungsgrenzfläche 219 zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216. Gemäß einigen Ausführungsformen veranschaulicht 27A eine untere Vermischungsgrenzfläche 218, die zwischen dem leitfähigen Strukturelement 210 und dem unteren leitfähigen Strukturelement 202 gebildet wird, 27B veranschaulicht eine obere Vermischungsgrenzfläche 219 zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216, und 27C veranschaulicht die Bildung sowohl einer unteren Vermischungsgrenzfläche 218 als auch einer oberen Vermischungsgrenzfläche 219. Die für die 27A-C beschriebenen Techniken können auch auf andere im vorliegenden Text beschriebene leitfähige Strukturelemente oder Strukturen angewendet werden. Durch das Bilden einer Vermischungsgrenzfläche zwischen dem leitfähigen Strukturelement 210 und einem anderen leitfähigen Strukturelement kann der Widerstand zwischen dem leitfähigen Strukturelement 210 und dem anderen leitfähigen Strukturelement verringert werden, was die Leistung der Vorrichtung verbessern kann.
  • 27A veranschaulicht eine Struktur, die der in 26F gezeigten Struktur ähnelt, mit der Ausnahme, dass zwischen dem leitfähigen Strukturelement 210 und dem unteren leitfähigen Strukturelement 202 eine untere Vermischungsgrenzfläche 218 gebildet wurde. Das Material des unteren leitfähigen Strukturelements 202 kann so gewählt werden, dass ein homogenes Gemisch mit dem ersten leitfähigen Material 207 gebildet werden kann. Zum Beispiel kann in einigen Ausführungsformen das Material des unteren leitfähigen Strukturelements 202 das gleiche sein wie das zweite leitfähige Material 209. In anderen Ausführungsformen kann das Material des unteren leitfähigen Strukturelements 202 ein anderes sein als das zweite leitfähige Material 209. Ein thermischer Prozess ähnlich dem thermischen Prozess 107 (siehe 19A-B) kann durchgeführt werden, um die untere Vermischungsgrenzfläche 218 zu bilden. In einigen Ausführungsformen kann der thermische Prozess nach dem Abscheiden des ersten leitfähigen Materials 207 durchgeführt werden, um die untere Vermischungsgrenzfläche 218 zu bilden, und anschließend wird ein separater thermischer Prozess (zum Beispiel der thermische Prozess 107) durchgeführt, um die Vermischungsgrenzfläche 208 nach dem Abscheiden des zweiten leitfähigen Materials 209 zu bilden. In anderen Ausführungsformen kann der thermische Prozess nach dem Abscheiden des zweiten leitfähigen Materials 207 durchgeführt werden, um sowohl die untere Vermischungsgrenzfläche 218 als auch die Vermischungsgrenzfläche 208 zu bilden.
  • 27B veranschaulicht eine Struktur, die der in 26F gezeigten Struktur ähnelt, mit der Ausnahme, dass zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216 eine obere Vermischungsgrenzfläche 219 gebildet wurde. Das Material des oberen leitfähigen Strukturelements 216 kann so gewählt werden, dass ein homogenes Gemisch mit dem ersten leitfähigen Material 207 gebildet werden kann. Zum Beispiel kann in einigen Ausführungsformen das Material des oberen leitfähigen Strukturelements 216 das gleiche sein wie das zweite leitfähige Material 209. In anderen Ausführungsformen kann das Material des oberen leitfähigen Strukturelements 216 ein anderes sein als das zweite leitfähige Material 209. Ein thermischer Prozess ähnlich dem thermischen Prozess 107 (siehe 19A-B) kann durchgeführt werden, um die obere Vermischungsgrenzfläche 219 zu bilden. In einigen Ausführungsformen kann ein erster thermischer Prozess (zum Beispiel der thermische Prozess 107) durchgeführt werden, um die Vermischungsgrenzfläche 208 vor der Bildung des oberen leitfähigen Strukturelements 216 zu bilden, und dann kann ein zweiter thermischer Prozess durchgeführt werden, um die obere Vermischungsgrenzfläche 219 nach der Bildung des oberen leitfähigen Strukturelements 216 zu bilden. In anderen Ausführungsformen können sowohl die obere Vermischungsgrenzfläche 219 als auch die Vermischungsgrenzfläche 208 unter Verwendung eines einzelnen thermischen Prozesses nach der Bildung des oberen leitfähigen Strukturelements 216 gebildet werden.
  • 27C veranschaulicht eine Struktur, die der in 26F gezeigten Struktur ähnelt, mit der Ausnahme, dass sowohl eine untere Vermischungsgrenzfläche 218 als auch eine obere Vermischungsgrenzfläche 219 gebildet wurden. Die Materialien des unteren leitfähigen Strukturelements 202 und des oberen leitfähigen Strukturelements 216 können so gewählt werden, dass ein homogenes Gemisch mit dem ersten leitfähigen Material 207 gebildet werden kann. Zum Beispiel kann in einigen Ausführungsformen das Material des unteren leitfähigen Strukturelements 202 und/oder des oberen leitfähigen Strukturelements 216 das gleiche sein wie das zweite leitfähige Material 209. In anderen Ausführungsformen können eines oder mehrere der Materialien des unteren leitfähigen Strukturelements 202, des oberen leitfähigen Strukturelements 216 oder des zweiten leitfähigen Materials 209 unterschiedlich sein. Ein oder mehrere thermische Prozesse ähnlich dem thermischen Prozess 107 (siehe 19A-B) können durchgeführt werden, um die untere Vermischungsgrenzfläche 218 und die obere Vermischungsgrenzfläche 219 zu bilden. Zum Beispiel kann in einigen Ausführungsformen ein erster thermischer Prozess durchgeführt werden, um die untere Vermischungsgrenzfläche 218 zu bilden, dann kann anschließend ein zweiter thermischer Prozess (zum Beispiel der thermische Prozess 107) durchgeführt werden, um die Vermischungsgrenzfläche 208 zu bilden, und dann kann anschließend ein dritter thermischer Prozess durchgeführt werden, um die obere Vermischungsgrenzfläche 219 zu bilden. In anderen Ausführungsformen kann ein erster thermischer Prozess durchgeführt werden, um sowohl die untere Vermischungsgrenzfläche 218 als auch die Vermischungsgrenzfläche 208 zu bilden, und dann kann anschließend ein zweiter thermischer Prozess durchgeführt werden, um die obere Vermischungsgrenzfläche 219 zu bilden. In anderen Ausführungsformen kann ein erster thermischer Prozess durchgeführt werden, um die untere Vermischungsgrenzfläche 218 zu bilden, und dann kann anschließend ein zweiter thermischer Prozess durchgeführt werden, um sowohl die Vermischungsgrenzfläche 208 als auch die obere Vermischungsgrenzfläche 219 zu bilden. In anderen Ausführungsformen können die untere Vermischungsgrenzfläche 218, die Vermischungsgrenzfläche 208 und die obere Vermischungsgrenzfläche 219 unter Verwendung eines einzelnen thermischen Prozesses nach der Bildung des oberen leitfähigen Strukturelements 216 gebildet werden.
  • 28A bis 28D veranschaulichen Zwischenschritte bei der Bildung eines leitfähigen Strukturelements 210 mit einer Kappschicht 220 gemäß einigen Ausführungsformen. Die in den 28A-D gezeigten Strukturen ähneln den in den 26E-F gezeigten Strukturen, mit Ausnahme der Bildung der Kappschicht 220 und des Durchführens eines optionalen thermischen Prozesses 227. Die Kappschicht 220 ist ein leitfähiges Material, das die Kontaktfläche zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216 effektiv vergrößern kann, was den Kontaktwiderstand zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216 verringern kann. Zusätzlich kann durch das Durchführen eines optionalen thermischen Prozesses 227 eine Vermischungsgrenzfläche 221 zwischen der Kappschicht 220 und dem oberen leitfähigen Strukturelement 216 gebildet werden, was den Kontaktwiderstand weiter verringern kann. Die in den 28A-D beschriebenen Techniken zum Bilden einer Kappschicht können auch auf andere im vorliegenden Text beschriebene leitfähige Strukturelemente oder Strukturen angewendet werden.
  • 28A veranschaulicht ein leitfähiges Strukturelement 210 gemäß einigen Ausführungsformen. Die in 28A gezeigte Struktur ähnelt der in 26E gezeigten Struktur und kann auf ähnliche Weise gebildet werden. In 28B wird gemäß einigen Ausführungsformen eine Kappschicht 220 über dem leitfähigen Strukturelement 210 gebildet. Das Material der Kappschicht 220 kann so gewählt werden, dass ein homogenes Gemisch mit dem ersten leitfähigen Material 207 des leitfähigen Strukturelements 207 gebildet werden kann. Zum Beispiel kann in einigen Ausführungsformen das Material der Kappschicht 220 das gleiche sein wie das zweite leitfähige Material 209. In anderen Ausführungsformen kann das Material der Kappschicht 220 ein anderes sein als das zweite leitfähige Material 209. Die Kappschicht 220 kann auf eine Dicke im Bereich von etwa 5 Å bis etwa 100 Å gebildet werden, wobei auch andere Dicken möglich sind. Die Kappschicht 220 kann eine Breite aufweisen, die kleiner ist als die Breite des leitfähigen Strukturelements 210, etwa die gleiche Breite wie das leitfähige Strukturelement 210 hat, oder eine größere Breite als das leitfähige Strukturelement 210 hat. Zum Beispiel kann die Kappschicht 220 eine Breite haben, die im Bereich von etwa 50 % und etwa 95 % der Breite des darunter liegenden leitfähigen Strukturelements 210 liegt. Andere Breiten sind ebenfalls möglich.
  • Die Kappschicht 220 kann unter Verwendung geeigneter Fotolithografie- und Abscheidungsprozesse gebildet werden. Zum Beispiel kann in einigen Ausführungsformen ein Photoresist über der dielektrischen Schicht 206 und dem leitfähigen Strukturelement 210 gebildet und strukturiert werden. In dem Photoresist kann dann eine Öffnung strukturiert werden, die der Kappschicht 220 entspricht. Das Material der Kappschicht 220 kann dann in der Öffnung und auf dem leitfähigen Strukturelement 210 abgeschieden werden. Das Material der Kappschicht 220 kann unter Verwendung einer geeigneten Technik abgeschieden werden, wie zum Beispiel jener, die zuvor für das erste leitfähige Material 104 (siehe 17A-B) oder das zweite leitfähige Material 106 (siehe 18A-B) beschrieben wurden. Nach dem Abscheiden des Materials der Kappschicht 220 können der Photoresist und überschüssiges Material zum Beispiel unter Verwendung eines geeigneten Ätz- und/oder Ashing-Prozesses entfernt werden. Dies ist ein beispielhafter Prozesses zum Bilden der Kappschicht 220, und innerhalb des Schutzumfangs der vorliegenden Offenbarung werden auch andere Prozesses in Betracht gezogen.
  • In 28C wird das obere leitfähige Strukturelement 216 gemäß einigen Ausführungsformen über der Kappschicht 220 gebildet. Das obere leitfähige Strukturelement 216 kann dem in 26F gezeigten oberen leitfähigen Strukturelement 216 ähneln und kann auf ähnliche Weise gebildet werden. Das obere leitfähige Strukturelement 216 kann in einigen Ausführungsformen die Kappschicht 220 umgeben und bedecken. Das Material des oberen leitfähigen Strukturelements 216 kann so gewählt werden, dass ein homogenes Gemisch mit dem Material der Kappschicht 220 gebildet werden kann. Zum Beispiel kann in einigen Ausführungsformen das Material des oberen leitfähigen Strukturelements 216 das gleiche sein wie das erste leitfähige Material 207. In anderen Ausführungsformen kann das Material des oberen leitfähigen Strukturelements 216 ein anderes sein als das erste leitfähige Material 207. Auf diese Weise kann das obere leitfähige Strukturelement 216 mindestens teilweise durch die Kappschicht 220 hindurch einen elektrischen Kontakt mit dem leitfähigen Strukturelement 210 herstellen, was den Widerstand verringern kann.
  • In 28D kann gemäß einigen Ausführungsformen ein optionaler thermischer Prozess 227 durchgeführt werden, der eine Vermischungsgrenzfläche 221 um die Kappschicht 220 herum bildet. Der thermische Prozess 227 kann in einigen Ausführungsformen ein Tempern oder dergleichen umfassen und kann dem zuvor beschriebenen thermischen Prozess 107 ähneln (siehe 19A-B). Der thermische Prozess 227 kann eine Vermischungsgrenzfläche 221 zwischen der Kappschicht 220 und dem oberen leitfähigen Strukturelement 216 bilden. In einigen Ausführungsformen bildet der thermische Prozess 227 auch die Vermischungsgrenzfläche 221 zwischen der Kappschicht 220 und dem ersten leitfähigen Material 207. In einigen Ausführungsformen ist der thermische Prozess 227 ein von dem thermischen Prozess 107 verschiedener thermischer Prozess. In anderen Ausführungsformen wird der thermische Prozess 107 nicht vorher durchgeführt, und der thermische Prozess 227 bildet sowohl die Vermischungsgrenzfläche 208 als auch die Vermischungsgrenzfläche 221. Durch Bilden einer Vermischungsgrenzfläche 221 auf diese Weise kann der Widerstand zwischen dem oberen leitfähigen Strukturelement 216 und der Kappschicht 220 verringert werden, was den Widerstand zwischen dem leitfähigen Strukturelement 210 und dem oberen leitfähigen Strukturelement 216 weiter verringern kann.
  • 26A bis 28D zeigen das leitfähige Strukturelement 210 mit im Wesentlichen senkrechten Seitenwänden, aber das leitfähige Strukturelement 210 kann in anderen Ausführungsformen auch schräge Seitenwände, gekrümmte Seitenwände oder ein anderes Seitenwandprofil haben. Als Beispiele veranschaulichen die 29A, 29B, 29C und 29D Ausführungsformen, bei denen das leitfähige Strukturelement 210 andere Seitenwandprofile aufweist. Die in den 29A-D gezeigten leitfähigen Strukturelemente 210 können den für die 26A bis 28D beschriebenen leitfähigen Strukturelementen 210 ähneln und können unter Verwendung ähnlicher Techniken gebildet werden. Das Seitenwandprofil des leitfähigen Strukturelements 210 kann zum Beispiel durch Steuern des einen oder der mehreren Ätzprozesse gesteuert werden, die die Öffnung 203 bilden (siehe 26A). Die in den 29A-D gezeigten Seitenwandprofile sind Beispiele, und andere Seitenwandprofile sind innerhalb des Schutzumfangs der vorliegenden Offenbarung möglich und werden in Betracht gezogen.
  • 29A und 29B veranschaulichen leitfähige Strukturelemente 210 gemäß einigen Ausführungsformen, die ein schräges oder sich verjüngendes Seitenwandprofil aufweisen. Zum Beispiel können die leitfähigen Strukturelemente 210 eine obere Breite aufweisen, die größer als eine untere Breite ist. 29A veranschaulicht ein leitfähiges Strukturelement 210 ohne eine Kappschicht 220, ähnlich den in 26F oder 27A-C gezeigten leitfähigen Strukturelementen. 29B veranschaulicht ein leitfähiges Strukturelement 210 mit einer Kappschicht 220, ähnlich den in den 28C-D gezeigten leitfähigen Strukturelementen 210 und Kappschichten 220. In einigen Fällen kann ein leitfähiges Strukturelement 210, das schräge Seitenwände aufweist, einen verringerten Kontaktwiderstand zwischen dem leitfähigen Strukturelement 210 und einem darüber liegenden oberen leitfähigen Strukturelement 216 aufweisen.
  • 29C und 29D veranschaulichen leitfähige Strukturelemente 210, die obere Seitenwandregionen aufweisen, die abgerundet oder schräg sind, gemäß einigen Ausführungsformen. Zum Beispiel können die oberen Seitenwandregionen der leitfähigen Strukturelemente 210 eine Breite aufweisen, die größer ist als eine Breite unterer Seitenwandregionen. 29C veranschaulicht ein leitfähiges Strukturelement 210 ohne eine Kappschicht 220, ähnlich den in 26F oder 27A-C gezeigten leitfähigen Strukturelemente. 29D veranschaulicht ein leitfähiges Strukturelement 210 mit einer Kappschicht 220, ähnlich den in den 28C-D gezeigten leitfähigen Strukturelementen 210 und Kappschichten 220. In einigen Fällen kann ein leitfähiges Strukturelement 210, das obere Seitenwandregionen aufweist, die breiter sind, einen verringerten Kontaktwiderstand zwischen dem leitfähigen Strukturelement 210 und einem darüber liegenden oberen leitfähigen Strukturelement 216 aufweisen.
  • Die offenbarten FinFET-Ausführungen könnten auch auf Nanostrukturvorrichtungen wie zum Beispiel Nanostruktur-Feldeffekttransistoren (NSFETs) (zum Beispiel Nanolagen, Nanodraht, Gate-All-Around oder dergleichen) angewendet werden. Bei einer NSFET-Ausführungsform werden die Finnen durch Nanostrukturen ersetzt, die durch Strukturieren eines Stapels aus abwechselnden Schichten von Kanalschichten und Opferschichten gebildet werden. Dummy-Gate-Stapel und Source/Drain-Regionen werden in ähnlicher Weise wie in den oben beschriebenen Ausführungsformen gebildet. Nachdem die Dummy-Gate-Stapel entfernt wurden, können die Opferschichten in Kanalregionen teilweise oder vollständig entfernt werden. Die Ersatz-Gate-Strukturen werden in ähnlicher Weise wie in den oben beschriebenen Ausführungsformen gebildet. Die Ersatz-Gate-Strukturen können Öffnungen, die durch das Entfernen der Opferschichten zurückgeblieben sind, ganz oder teilweise ausfüllen, und die Ersatz-Gate-Strukturen können die Kanalschichten in den Kanalregionen der NSFET-Vorrichtungen ganz oder teilweise umgeben. ILDs und Kontakte zu den Ersatz-Gate-Strukturen und den Source-/Drain-Regionen können in ähnlicher Weise wie bei den oben beschriebenen Ausführungsformen gebildet werden. Eine Nanostrukturvorrichtung kann gemäß der Offenbarung in der US-Patentanmeldungspublikation Nr. 2016/0365414 gebildet werden, die hiermit durch Bezugnahme in vollem Umfang in den vorliegenden Text aufgenommen wird.
  • Die Ausführungsformen der vorliegenden Offenbarung können Vorteile realisieren. In einigen Ausführungsformen kann ein leitfähiges Strukturelement, wie zum Beispiel eine leitfähige Durchkontaktierung oder eine Leitung, unter Verwendung eines zweiten leitfähigen Materials gebildet werden, das auf einem ersten leitfähigen Material abgeschieden wird. In einigen Fällen kann das erste leitfähige Material einen relativ geringen Widerstand aufweisen, was den Gesamtwiderstand des leitfähigen Strukturelements verringern kann. Eines oder beide der leitfähigen Materialien können einen relativ kleine mittlere freie Weglänge haben, was den Widerstand kleinerer leitfähiger Strukturelemente verringern kann. In einigen Fällen können durch Verwenden eines zweiten leitfähigen Materials zusätzlich zu dem ersten leitfähigen Material problematische Eigenschaften des ersten leitfähigen Materials verringert werden. Zum Beispiel können Effekte wie zum Beispiel Verspannungen aufgrund von Wärmeausdehnung oder Biegen aufgrund von Nahtverschmelzung verringert oder beseitigt werden. In einigen Fällen kann das erste leitfähige Material als eine „Auskleidung“ dienen und die Adhäsion des zweiten leitfähigen Materials verbessern.
  • In einigen Ausführungsformen können das erste leitfähige Material und das zweite leitfähige Material des leitfähigen Strukturelements so gewählt werden, dass ein homogenes Gemisch zwischen den beiden leitfähigen Materialien gebildet wird. Ein thermischer Prozess, wie zum Beispiel ein Tempern, kann durchgeführt werden, um das erste leitfähige Material und das zweite leitfähige Material zu diffundieren und eine Vermischungsgrenzfläche zu bilden, die eine homogene Phase aufweist. In einigen Fällen kann das Bilden einer Vermischungsgrenzfläche auf diese Weise den Widerstand zwischen dem ersten leitfähigen Material und dem zweiten leitfähigen Material verringern und auch die Adhäsion zwischen dem ersten leitfähigen Material und dem zweiten leitfähigen Material verbessern. In einigen Ausführungsformen kann eine Kappschicht über dem leitfähigen Strukturelement gebildet werden, um zu einem darüber liegenden Strukturelement die Kontaktfläche zu vergrößern und den Kontaktwiderstand zu verringern. Die im vorliegenden Text beschriebenen Ausführungsformen können auch auf verschiedene Strukturelemente angewendet werden, die während MEOL- und/oder BEOL-Prozessen gebildet werden, wie zum Beispiel Kontaktstecker, Leitungen und/oder leitfähige Durchkontaktierungen.
  • Gemäß einer Ausführungsform umfasst ein Verfahren Folgendes: Bilden einer Vorrichtungsregion über einem Substrat; Bilden einer ersten dielektrischen Schicht über der Vorrichtungsregion; Bilden einer Öffnung in der ersten dielektrischen Schicht; konformes Abscheiden eines ersten leitfähigen Materials entlang von Seitenwänden und Bodenflächen der Öffnung; Abscheiden eines zweiten leitfähigen Materials auf dem ersten leitfähigen Material, um die Öffnung zu füllen, wobei sich das zweite leitfähige Material von dem ersten leitfähigen Material unterscheidet; und Durchführen eines ersten thermischen Prozesses, um eine Grenzflächenregion zu bilden, die sich von einer ersten Region des ersten leitfähigen Materials zu einer zweiten Region des zweiten leitfähigen Materials erstreckt, wobei die Grenzflächenregion ein homogenes Gemisch aus dem ersten leitfähigen Material und dem zweiten leitfähigen Material aufweist. In einer Ausführungsform ist das erste leitfähige Material Ru, und das zweite leitfähige Material ist Co. In einer Ausführungsform diffundiert der erste thermische Prozess das erste leitfähige Material in das zweite leitfähige Material hinein. In einer Ausführungsform diffundiert der erste thermische Prozess das zweite leitfähige Material in das erste leitfähige Material hinein. In einer Ausführungsform umfasst der erste thermische Prozess ein Tempern, das bei einer Temperatur im Bereich von 100°C bis 1000°C durchgeführt wird. In einer Ausführungsform umfasst das Verfahren Folgendes: Durchführen eines Planarisierungsprozesses, um überschüssiges erstes leitfähiges Material und überschüssiges zweites leitfähiges Material zu entfernen; nach dem Durchführen des Planarisierungsprozesses, Abscheiden einer Kappschicht über dem zweiten leitfähigen Material, wobei die Kappschicht das zweite leitfähige Material umfasst; und Bilden eines ersten leitfähigen Strukturelements, das die Kappschicht bedeckt. In einer Ausführungsform umfasst das Verfahren das Durchführen eines zweiten thermischen Prozesses, um die Kappschicht in das erste leitfähige Strukturelement hineinzudiffundieren. In einer Ausführungsform legt die Öffnung in der ersten dielektrischen Schicht eine Oberfläche eines zweiten leitfähigen Strukturelements frei, und der erste thermische Prozess diffundiert das erste leitfähige Material in das zweite leitfähige Strukturelement hinein.
  • Gemäß einer Ausführungsform umfasst ein Verfahren Folgendes: Bilden einer Finne, die von einem Substrat vorsteht; Bilden einer Gate-Struktur, die sich entlang einer Seitenwand und über eine obere Oberfläche der Finne erstreckt; Bilden einer Source/Drain-Region in der Finne neben der Gate-Struktur; Bilden einer ersten dielektrischen Schicht über der Source/Drain-Region; Bilden einer Öffnung in der ersten dielektrischen Schicht, wobei die Öffnung eine Oberfläche der Source/Drain-Region freilegt; Abscheiden einer Auskleidungsschicht entlang von Seitenwänden der Öffnung und auf der freiliegenden Oberfläche der Source/Drain-Region, wobei die Auskleidungsschicht ein erstes Material aufweist; Abscheiden einer Füllstoffschicht auf der Auskleidungsschicht, wobei die Füllstoffschicht ein zweites Material aufweist, das sich von dem ersten Material unterscheidet, wobei eine Grenzfläche zwischen der Auskleidungsschicht und der Füllstoffschicht ein Stufenkonzentrationsprofil aufweist; und Durchführen eines Temperungsprozesses, wobei nach dem Durchführen des Temperungsprozesses die Grenzfläche zwischen der Auskleidungsschicht und der Füllstoffschicht ein Gradientenkonzentrationsprofil aufweist. In einer Ausführungsform ist - vor dem Durchführen des Temperungsprozesses - eine erste Region der Auskleidungsschicht frei von dem zweiten Material, und eine zweite Region der Füllstoffschicht ist frei von dem ersten Material, und nach dem Durchführen des Temperungsprozesses weisen die erste Region und die zweite Region Gemische aus dem ersten Material und dem zweiten Material auf. In einer Ausführungsform verringert der Temperungsprozess einen Kontaktwiderstand zwischen der Auskleidungsschicht und der Füllstoffschicht. In einer Ausführungsform umfasst das erste Material Ru, und das zweite Material umfasst Co. In einer Ausführungsform umfasst das Verfahren das Bilden eines leitfähigen Strukturelements über der Auskleidungsschicht und der Füllstoffschicht, wobei das Bilden des leitfähigen Strukturelements das Abscheiden einer Schicht aus dem ersten Material auf der Füllstoffschicht umfasst. In einer Ausführungsform umfasst das Bilden des leitfähigen Strukturelements des Weiteren das Abscheiden einer Schicht aus dem zweiten Material auf der Schicht aus dem ersten Material. In einer Ausführungsform stellt das leitfähige Strukturelement einen physischen und elektrischen Kontakt mit der Gate-Struktur her. In einer Ausführungsform umfasst das Verfahren das Abscheiden einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, wobei sich die Öffnung durch die zweite dielektrische Schicht hindurch erstreckt.
  • Gemäß einer Ausführungsform weist eine Vorrichtung auf: ein erstes leitfähiges Strukturelement, das sich durch eine dielektrische Schicht hindurch erstreckt, um ein zweites leitfähiges Strukturelement physisch und elektrisch zu kontaktieren, wobei das erste leitfähige Strukturelement aufweist: eine äußere Schicht, die ein erstes leitfähiges Material aufweist; eine innere Schicht, die ein zweites leitfähiges Material aufweist, das sich von dem ersten leitfähigen Material unterscheidet, wobei die äußere Schicht die innere Schicht mindestens teilweise umgibt; und eine Grenzflächenschicht zwischen der äußeren Schicht und der inneren Schicht, die ein homogenes Gemisch aus dem ersten leitfähigen Material und dem zweiten leitfähigen Material aufweist, wobei Regionen der Grenzflächenschicht neben der äußeren Schicht eine größere Konzentration des ersten leitfähigen Materials aufweisen als Regionen der Grenzflächenschicht neben der inneren Schicht. In einer Ausführungsform weist das zweite leitfähige Strukturelement das zweite leitfähige Material auf. In einer Ausführungsform weist die Vorrichtung ein drittes leitfähiges Strukturelement auf, das eine Oberseite des ersten leitfähigen Strukturelements physisch und elektrisch kontaktiert, wobei das dritte leitfähige Strukturelement eine Schicht aus dem zweiten leitfähigen Material aufweist. In einer Ausführungsform weist das dritte leitfähige Strukturelement eine Schicht aus dem ersten leitfähigen Material auf der Schicht aus dem zweiten leitfähigen Material auf.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/154019 [0001]

Claims (20)

  1. Verfahren, das umfasst: Bilden einer Vorrichtungsregion über einem Substrat; Bilden einer ersten dielektrischen Schicht über der Vorrichtungsregion; Bilden einer Öffnung in der ersten dielektrischen Schicht; konformes Abscheiden eines ersten leitfähigen Materials entlang von Seitenwänden und Bodenflächen der Öffnung; Abscheiden eines zweiten leitfähigen Materials auf dem ersten leitfähigen Material, um die Öffnung zu füllen, wobei sich das zweite leitfähige Material von dem ersten leitfähigen Material unterscheidet; und Durchführen eines ersten thermischen Prozesses, um eine Grenzflächenregion zu bilden, die sich von einer ersten Region des ersten leitfähigen Materials zu einer zweiten Region des zweiten leitfähigen Materials erstreckt, wobei die Grenzflächenregion ein homogenes Gemisch aus dem ersten leitfähigen Material und dem zweiten leitfähigen Material umfasst.
  2. Verfahren nach Anspruch 1, wobei das erste leitfähige Material Ru ist und das zweite leitfähige Material Co ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei der erste thermische Prozess das erste leitfähige Material in das zweite leitfähige Material hinein diffundiert.
  4. Verfahren nach einem der vorangehenden Ansprüche, wobei der erste thermische Prozess das zweite leitfähige Material in das erste leitfähige Material hinein diffundiert.
  5. Verfahren nach einem der vorangehenden Ansprüche, wobei der erste thermische Prozess ein Tempern bei einer Temperatur im Bereich von 100°C bis 1000°C umfasst.
  6. Verfahren nach einem der vorangehenden Ansprüche, das des Weiteren umfasst: Durchführen eines Planarisierungsprozesses, um überschüssiges erstes leitfähiges Material und überschüssiges zweites leitfähiges Material zu entfernen; nach dem Durchführen des Planarisierungsprozesses, Abscheiden einer Kappschicht über dem zweiten leitfähigen Material, wobei die Kappschicht das zweite leitfähige Material umfasst; und Bilden eines ersten leitfähigen Strukturelements, das die Kappschicht bedeckt.
  7. Verfahren nach Anspruch 6, das des Weiteren das Durchführen eines zweiten thermischen Prozesses umfasst, um die Kappschicht in das erste leitfähige Strukturelement hineinzudiffundieren.
  8. Verfahren nach einem der vorangehenden Ansprüche, wobei die Öffnung in der ersten dielektrischen Schicht eine Oberfläche eines zweiten leitfähigen Strukturelements freilegt, und wobei der erste thermische Prozess das erste leitfähige Material in das zweite leitfähige Strukturelement hinein diffundiert.
  9. Verfahren, das umfasst: Bilden einer Finne, die von einem Substrat vorsteht; Bilden einer Gate-Struktur, die sich entlang einer Seitenwand und über eine obere Oberfläche der Finne erstreckt; Bilden einer Source/Drain-Region in der Finne neben der Gate-Struktur; Bilden einer ersten dielektrischen Schicht über der Source/Drain-Region; Bilden einer Öffnung in der ersten dielektrischen Schicht, wobei die Öffnung eine Oberfläche der Source/Drain-Region freilegt; Abscheiden einer Auskleidungsschicht entlang von Seitenwänden der Öffnung und auf der freiliegenden Oberfläche der Source/Drain-Region, wobei die Auskleidungsschicht ein erstes Material umfasst; Abscheiden einer Füllstoffschicht auf der Auskleidungsschicht, wobei die Füllstoffschicht ein zweites Material umfasst, das sich von dem ersten Material unterscheidet, wobei eine Grenzfläche zwischen der Auskleidungsschicht und der Füllstoffschicht ein Stufenkonzentrationsprofil aufweist; und Durchführen eines Temperungsprozesses, wobei nach dem Durchführen des Temperungsprozesses die Grenzfläche zwischen der Auskleidungsschicht und der Füllstoffschicht ein Gradientenkonzentrationsprofil aufweist.
  10. Verfahren nach Anspruch 9, wobei - vor dem Durchführen des Temperungsprozesses - eine erste Region der Auskleidungsschicht frei von dem zweiten Material ist und eine zweite Region der Füllstoffschicht frei von dem ersten Material ist, und wobei nach dem Durchführen des Temperungsprozesses die erste Region und die zweite Region Gemische aus dem ersten Material und dem zweiten Material aufweisen.
  11. Verfahren nach Anspruch 9, wobei der Temperungsprozess einen Kontaktwiderstand zwischen der Auskleidungsschicht und der Füllstoffschicht verringert.
  12. Verfahren nach Anspruch 9 oder 10, wobei das erste Material Ru umfasst und das zweite Material Co umfasst.
  13. Verfahren nach einem der vorangehenden Ansprüche 9 bis 12, das des Weiteren das Bilden eines leitfähigen Strukturelements über der Auskleidungsschicht und der Füllstoffschicht umfasst, wobei das Bilden des leitfähigen Strukturelements das Abscheiden einer Schicht aus dem ersten Material auf der Füllstoffschicht umfasst.
  14. Verfahren nach Anspruch 13, wobei das Bilden des leitfähigen Strukturelements des Weiteren das Abscheiden einer Schicht aus dem zweiten Material auf der Schicht aus dem ersten Material umfasst.
  15. Verfahren nach Anspruch 13 oder 14, wobei das leitfähige Strukturelement einen physischen und elektrischen Kontakt mit der Gate-Struktur herstellt.
  16. Verfahren nach einem der vorangehenden Ansprüche 9 bis 15, das des Weiteren das Abscheiden einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht umfasst, wobei sich die Öffnung durch die zweite dielektrische Schicht hindurch erstreckt.
  17. Vorrichtung, die umfasst: ein erstes leitfähiges Strukturelement, das sich durch eine dielektrische Schicht hindurch erstreckt, um ein zweites leitfähiges Strukturelement physisch und elektrisch zu kontaktieren, wobei das erste leitfähige Strukturelement umfasst: eine äußere Schicht, die ein erstes leitfähiges Material umfasst; eine innere Schicht, die ein zweites leitfähiges Material umfasst, das sich von dem ersten leitfähigen Material unterscheidet, wobei die äußere Schicht die innere Schicht mindestens teilweise umgibt; und eine Grenzflächenschicht zwischen der äußeren Schicht und der inneren Schicht, die ein homogenes Gemisch aus dem ersten leitfähigen Material und dem zweiten leitfähigen Material umfasst, wobei Regionen der Grenzflächenschicht neben der äußeren Schicht eine größere Konzentration des ersten leitfähigen Materials aufweisen als Regionen der Grenzflächenschicht neben der inneren Schicht.
  18. Vorrichtung nach Anspruch 17, wobei das zweite leitfähige Strukturelement das zweite leitfähige Material umfasst.
  19. Vorrichtung nach Anspruch 17 oder 18, die des Weiteren ein drittes leitfähiges Strukturelement umfasst, das eine Oberseite des ersten leitfähigen Strukturelements physisch und elektrisch kontaktiert, wobei das dritte leitfähige Strukturelement eine Schicht aus dem zweiten leitfähigen Material umfasst.
  20. Vorrichtung nach Anspruch 19, wobei das dritte leitfähige Strukturelement des Weiteren eine Schicht aus dem ersten leitfähigen Material auf der Schicht aus dem zweiten leitfähigen Material umfasst.
DE102022100025.7A 2021-02-26 2022-01-03 Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung Pending DE102022100025A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163154019P 2021-02-26 2021-02-26
US63/154,019 2021-02-26
US17/401,633 2021-08-13
US17/401,633 US20220277994A1 (en) 2021-02-26 2021-08-13 Conductive feature of semiconductor device and method of forming same

Publications (1)

Publication Number Publication Date
DE102022100025A1 true DE102022100025A1 (de) 2022-09-01

Family

ID=82235661

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100025.7A Pending DE102022100025A1 (de) 2021-02-26 2022-01-03 Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung

Country Status (4)

Country Link
US (1) US20220277994A1 (de)
KR (1) KR20220122553A (de)
CN (1) CN114725017A (de)
DE (1) DE102022100025A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230238279A1 (en) * 2022-01-21 2023-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8524599B2 (en) * 2011-03-17 2013-09-03 Micron Technology, Inc. Methods of forming at least one conductive element and methods of forming a semiconductor structure
KR20230026514A (ko) * 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US11037781B2 (en) * 2018-06-29 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for high pressure anneal

Also Published As

Publication number Publication date
CN114725017A (zh) 2022-07-08
US20220277994A1 (en) 2022-09-01
TW202234583A (zh) 2022-09-01
KR20220122553A (ko) 2022-09-02

Similar Documents

Publication Publication Date Title
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102020119976B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021113549B3 (de) Halbleitervorrichtung und verfahren
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102022107309A1 (de) Metallgate-finnenelektrodenstruktur und verfahren
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021113657A1 (de) Finnen-Feldefekttransistorvorrichtung und Verfahren
DE102021113257A1 (de) Halbleiterbauelement und Verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102020115362A1 (de) Halbleitervorrichtung und verfahren
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102022100025A1 (de) Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102021115793B4 (de) Transistor-source/drain-kontakte und verfahren zu deren bildung
DE102022100092A1 (de) Gatestrukturen in transistorvorrichtungen und verfahren zu deren herstellung
DE102021108841A1 (de) Transistor-gate-struktur und verfahren zum bilden derselben
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed