DE102021109577A1 - Selbstausrichtende aktivzonen und passivierungsschicht und herstellungsverfahren dafür - Google Patents

Selbstausrichtende aktivzonen und passivierungsschicht und herstellungsverfahren dafür Download PDF

Info

Publication number
DE102021109577A1
DE102021109577A1 DE102021109577.8A DE102021109577A DE102021109577A1 DE 102021109577 A1 DE102021109577 A1 DE 102021109577A1 DE 102021109577 A DE102021109577 A DE 102021109577A DE 102021109577 A1 DE102021109577 A1 DE 102021109577A1
Authority
DE
Germany
Prior art keywords
layer
channel layer
pair
self
active zones
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021109577.8A
Other languages
English (en)
Inventor
Hung Wei Li
Mauricio Manfrini
Sai-Hooi Yeong
Yu-Ming Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021109577A1 publication Critical patent/DE102021109577A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

Feldeffekttransistoren und Herstellungsverfahren. Die Feldeffekttransistoren weisen ein Aktivzonen-Paar in einer Kanalschicht, eine Kanalzone, die zwischen dem Aktivzonen-Paar angeordnet ist, und eine selbstausrichtende Passivierungsschicht auf, die auf einer Fläche des Aktivzonen-Paars angeordnet ist.

Description

  • VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patenanmeldung Nr. 63/042,579 mit der Bezeichnung „Formation of Self-Aligned N-Doped Region and Passivation Layer“, eingereicht am 23. Juni 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • In der Halbleiterindustrie besteht ständig der Wunsch, die Flächendichte integrierter Schaltungen zu erhöhen. Hierfür sind einzelne Transistoren zunehmend kleiner geworden. Die Geschwindigkeit, mit welcher einzelne Transistoren kleiner gemacht werden, wird jedoch langsamer. Ein Umlegen von Peripherietransistoren vom Front-End-Of-Line (FEOL) zum Back-End-Of-Line (BEOL) der Herstellung kann vorteilhaft sein, weil am BEOL Funktionalität hinzugefügt werden kann, während am FEOL wertvolle Chip-Fläche verfügbar gemacht werden kann. Dünnschichttransistoren (Thin Film Transistors, TFTs), die aus Oxid-Halbleitern hergestellt werden, sind eine attraktive Option für die BEOL-Integration, da TFTs bei niedrigen Temperaturen verarbeitet werden können und somit zuvor hergestellte Vorrichtungen nicht beschädigen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist eine vertikale Querschnittsansicht einer ersten beispielhaften Struktur vor dem Bilden eines Arrays von TFTs gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 1B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur während des Bildens der BEOL-Transistoren gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 1C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur nach dem Bilden von Metall-Interconnect-Strukturen der oberen Ebene gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 2A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer ersten Dielektrikumsschicht über einem Substrat gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 2B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 2A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 3A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden eines Wortleitungsgrabens in der ersten Dielektrikumsschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 3B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 3A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 4A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden eines metallischen Füllmaterials in dem Wortleitungsgraben zum Bilden einer Wortleitung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 4B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 4A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 5A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer Gate-Dielektrikums-Deckschicht und eines Materials einer Halbleiterkanal-Deckschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 5B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 5A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 6A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Strukturieren der Gate-Dielektrikums-Deckschicht und einer Halbleiterkanal-Deckschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 6B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 6A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 7A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden einer Zwischenschichtdielektrikums-Schicht (Interlayer Dielectric Layer, ILD) über der Zwischenstruktur, die in 6A und 6B dargestellt ist.
    • 7B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 7A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 8A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden von Durchkontaktierungslöchern in der Zwischenschichtdielektrikums-Schicht (ILD).
    • 8B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 8A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 9A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer Metallschicht über der Zwischenstruktur, die in 8A und 8B dargestellt ist, gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 9B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 9A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 10A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Erwärmen der Zwischenstruktur, die in 9A und 9B dargestellt ist, um das Metall mit der ILD-Schicht und der Kanalschicht reagieren zu lassen, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 10B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 10A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 11A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden von Kontaktstrukturen in den Durchkontaktierungslöchern gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 11B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 11A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
    • 12 ist eine Draufsicht, welche den Transistor der 11A und 11B gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 13A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden einer unteren Schutzschicht über einer strukturierten Gate-Dielektrikumsschicht und einer strukturierten Kanalschicht gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 13B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 13A gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 14A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden von Durchkontaktierungslöchern in der Zwischenschichtdielektrikums-Schicht (ILD) gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 14B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 14A gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 15A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer Metallschicht über der Zwischenstruktur, die in 14A und 14B dargestellt ist, gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 15B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 15A gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 16A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Erwärmen der Zwischenstruktur, die in 15A und 15B dargestellt ist, um das Metall mit der ILD-Schicht und der Kanalschicht reagieren zu lassen, gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 16B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 16A gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 17A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden von Kontaktstrukturen in den Durchkontaktierungslöchern gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 17B ist eine vertikale Querschnittsansicht entlang der Linie A-A' der 17A gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung.
    • 18 ist eine vertikale Querschnittsansicht, welche das Bilden von Durchkontaktierungsstrukturen, auf denen eine Metallschicht angeordnet ist, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 19 ist eine vertikale Querschnittsansicht, welche das Bilden einer Kanalschicht über der Zwischenstruktur, die in 18 dargestellt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 20 ist eine vertikale Querschnittsansicht, welche das Erwärmen der Zwischenstruktur, die in 19 dargestellt ist, um die Metallschicht mit der Kanalschicht reagieren zu lassen, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 21 ist eine vertikale Querschnittsansicht, welche das Bilden einer Gate-Dielektrikumsschicht über der Zwischenstruktur, die in 20 dargestellt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 22 ist eine vertikale Querschnittsansicht, welche das Bilden einer Gate-Elektroden-/Wortleitung über der Zwischenstruktur, die in 21 dargestellt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 23 ist eine vertikale Querschnittsansicht, welche das Bilden einer ILD-Schicht über der Zwischenstruktur, die in 22 dargestellt ist, gemäß einer Ausführungsform der vorliegenden Offenbarung veranschaulicht.
    • 24 ist ein Ablaufplan, welcher die allgemeinen Verarbeitungsschritte der Verfahren der vorliegenden Offenbarung veranschaulicht.
    • 25 ist ein Ablaufplan, welcher alternative Verarbeitungsschritte der Verfahren der vorliegenden Offenbarung veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Realisieren verschiedener Merkmale der beschriebenen Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Beispielsweise kann das Bilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Element in direktem Kontakt gebildet werden, und es kann außerdem Ausführungsformen umfassen, bei welchen zwischen dem ersten und dem zweiten Element weitere Elemente gebildet werden können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Außerdem können in der vorliegenden Offenbarung in den verschiedenen Beispielen Bezugszahlen und/oder -buchstaben wiederholt werden. Diese Wiederholung dient der Vereinfachung und einer klaren Darstellung und bestimmt allein noch keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin zur Vereinfachung der Beschreibung Begriffe der räumlichen Beziehung wie „unterhalb“, „unter“, „untere“, „oberhalb“, „obere“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren veranschaulicht. Die Begriffe der räumlichen Beziehung sollen zusätzlich zu der Orientierung, die in den Figuren abgebildet sind, andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtungen können anders orientiert sein (um 90 Grad gedreht sein oder andere Orientierungen aufweisen) und die hierin verwendeten Deskriptoren der räumlichen Beziehung können gleichermaßen entsprechend interpretiert werden. Sofern nicht ausdrücklich anders angegeben, wird angenommen, dass alle Elemente, welche dieselben Bezugszahlen aufweisen, dieselben Materialzusammensetzungen aufweisen und eine Dicke innerhalb desselben Dickenbereichs aufweisen.
  • Die vorliegende Offenbarung betrifft Halbleitervorrichtungen und insbesondere sind Ausführungsformen der vorliegenden Offenbarung auf Feldeffekttransistoren mit selbstausrichtenden Aktivzonen und einer selbstausrichtenden Schutzschicht bezogen, welche Durchkontaktierungsstrukturen des Transistors auskleiden. Die selbstausrichtenden Aktivzonen und die selbstausrichtende Schutzschicht können die Aktivzonen 117 schützen und bei einer Dotierung der selbstausrichtenden Aktivzonen 117 helfen. In verschiedenen Ausführungsformen kann der Transistor ein Feldeffekttransistor mit unterem Gate sein, wobei die selbstausrichtende Passivierungsschicht oben auf der Kanalschicht angeordnet ist. In anderen Ausführungsformen kann der Transistor ein Feldeffekttransistor mit oberem Gate sein, wobei die selbstausrichtende Passivierungsschicht auf der Unterseite der Kanalschicht angeordnet ist. In verschiedenen Ausführungsformen können die Transistoren eine selbstausrichtende Schutzschicht aufweisen, welche die Durchkontaktierungsstrukturen auskleidet. In anderen Ausführungsformen können die Transistoren eine zusätzliche untere Schutzschicht über der Kanalschicht aufweisen.
  • In einigen Anwendungen können Transistoren in einer BEOL-Position hergestellt werden. Durch Herstellen der Transistoren in der BEOL-Position kann am BEOL Funktionalität hinzugefügt werden, während am FEOL wertvolle Chipfläche verfügbar gemacht werden kann. Überdies können Transistoren, bei welchen Metalloxid-Halbleiter verwendet werden, eine attraktive Option für die BEOL-Integration sein, da solche Transistoren bei niedrigen Temperaturen verarbeitet werden können und somit zuvor hergestellte Vorrichtungen nicht beschädigen. Obwohl durch die Herstellung der Transistoren am BEOL die Transistoren vor manchen rauen Bedingungen geschützt werden, können die BEOL-Transistoren immer noch Gasen in der Umgebung während der Bildung anderer BEOL-Vorrichtungen ausgesetzt werden. Beispielsweise kann der BEOL-Transistor Plasma und Luft in der Umgebung ausgesetzt werden. Umgebungsgase wie Wasserstoff können Schichten der BEOL-Transistoren beschädigen und die Wirksamkeit hergestellter Vorrichtungen verschlechtern. Entsprechend wird in den verschiedenen hierin offenbarten Ausführungsformen versucht, die Transistoren zu schützen, indem eine selbstausrichtende Passivierungsschicht eingebaut wird, um eine Kanalzone zu schützen.
  • Bezug nehmend auf 1A, wird dort eine erste beispielhafte Struktur gemäß einer Ausführungsform der vorliegenden Offenbarung vor dem Bilden eines Arrays von Speicherstrukturen gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung veranschaulicht. Die erste beispielhafte Struktur weist ein Substrat 8 auf, welches eine Halbleitermaterialschicht 10 enthält. Das Substrat 8 kann ein massives Halbleitersubstrat umfassen, wie z.B. ein Siliziumsubstrat, bei welchem sich die Halbleitermaterialschicht kontinuierlich von einer oberen Fläche des Substrats 8 bis zu einer unteren Fläche des Substrats 8 erstreckt, oder eine Halbleiter-auf-Isolator-Schicht, welche die Halbleitermaterialschicht 10 als eine obere Halbleiterschicht aufweist, die über einer vergrabenen Isolatorschicht (z.B. einer Siliziumoxidschicht) liegt. Die beispielhafte Struktur kann verschiedene Vorrichtungszonen aufweisen, welche eine Speicher-Array-Zone 50 umfassen können, in welcher anschließend mindestens ein Array von nicht-flüchtigen Speicherzellen gebildet werden kann.
  • Beispielsweise kann das mindestens eine Array von nicht-flüchtigen Speicherzellen Vorrichtungen von resistiven Direktzugriffsspeichern (RRAM oder ReRAM), magnetischen/magnetoresistiven Direktzugriffsspeichern (MRAM), ferroelektrischen Direktzugriffsspeichern (FeRAM) und Phasenwechselspeichern (PCM) aufweisen. Die beispielhafte Struktur kann außerdem eine Peripherielogikzone 52 aufweisen, in welcher im Folgenden elektrische Verbindungen zwischen jedem Array von nicht-flüchtigen Speicherzellen und einer Peripherieschaltung gebildet werden können, welche Feldeffekttransistoren aufweist. Um verschiedene Elemente der Peripherieschaltung zu bilden, können Flächen der Speicher-Array-Zone 50 und der Logikzone 52 eingesetzt werden.
  • Während einer FEOL-Operation können auf und/oder in der Halbleitermaterialschicht 10 Halbleitervorrichtungen gebildet werden, z.B. Feldeffekttransistoren (FETs). Beispielsweise können in einem oberen Abschnitt der Halbleitermaterialschicht 10 flache Grabenisolierungsstrukturen 12 gebildet werden, indem flache Gräben gebildet werden und anschließend die flachen Gräben mit einem Dielektrikumsmaterial wie z.B. Siliziumoxid gefüllt werden. Andere geeignete Dielektrikumsmaterialien sind vom vorgesehenen Umfang der Offenbarung umfasst. In verschiedenen Zonen des oberen Abschnitts der Halbleitermaterialschicht 10 können verschiedene dotierte Wannen (nicht ausdrücklich dargestellt) gebildet werden, indem Verfahren einer maskierten Ionenimplantation durchgeführt werden.
  • Über der oberen Fläche des Substrats 8 können durch Abscheiden und Strukturieren einer Gate-Dielektrikumsschicht, einer Gate-Elektrodenschicht und einer Gate-Deck-Dielektrikumsschicht Gate-Strukturen 20 gebildet werden. Jede Gate-Struktur 20 kann einen vertikalen Stapel eines Gate-Dielektrikums 22, einer Gate-Elektrode 24 und eines Gate-Deck-Dielektrikums 28 aufweisen, welcher hierin als ein Gate-Stapel (22, 24, 28) bezeichnet wird. Es können Ionenimplantationsverfahren angewendet werden, um Erweiterungs-Implantationszonen zu bilden, welche Source-Erweiterungszonen und Drain-Erweiterungszonen umfassen können. Um die Gate-Stapel (22, 24, 28) herum können dielektrische Gate-Abstandhalter 26 gebildet werden. Jede Baugruppe aus einem Gate-Stapel (22, 24, 28) und einem dielektrischen Gate-Abstandhalter 26 bildet eine Gate-Struktur 20. Es können zusätzliche Ionenimplantationsverfahren durchgeführt werden, bei welchen die Gate-Strukturen 20 als selbstausrichtende Implantationsmasken verwendet werden, um tiefe Aktivzonen zu bilden. Solche tiefen Aktivzonen können tiefe Source-Zonen und tiefe Drain-Zonen umfassen. Obere Abschnitte der tiefen Aktivzonen können sich mit Abschnitten der Erweiterungs-Implantationszonen überlappen. Jede Kombination einer Erweiterungs-Implantationszone und einer tiefen Aktivzone kann eine Aktivzone 14 bilden, welche in Abhängigkeit von der elektrischen Ausrichtung eine Source-Zone oder eine Drain-Zone sein kann. Unterhalb jedes Gate-Stapels (22, 24, 28) kann zwischen einem Paar benachbarter Aktivzonen 14 ein Halbleiterkanal 15 gebildet werden. Auf der oberen Fläche jeder Aktivzone 14 können Metall-Halbleiter-Legierungszonen 18 gebildet werden. Auf der Halbleitermaterialschicht 10 können Feldeffekttransistoren gebildet werden. Jeder Feldeffekttransistor kann eine Gate-Struktur 20, einen Halbleiterkanal 15, ein Aktivzonen-Paar 14 (von denen eine als eine Source-Zone fungiert und eine andere als eine Drain-Zone fungiert) und gegebenenfalls Metall-Halbleiter-Legierungszonen 18 aufweisen. Auf der Halbleitermaterialschicht 10 können Komplementär-Metall-Oxid-Halbleiter-Schaltungen (CMOS-Schaltungen) 75 bereitgestellt werden, welche eine Peripherieschaltung für das Array (die Arrays) von Transistoren umfassen können, wie z.B. Dünnschichttransistoren, die anschließend gebildet werden.
  • Anschließend können verschiedene Strukturen der Interconnect-Ebene gebildet werden, welche vor dem Bilden eines Arrays von Feldeffekttransistoren gebildet werden und hierin als untere Interconnect-Ebenen-Strukturen (Lo, L1, L2) bezeichnet werden. Wenn anschließend ein zweidimensionales Array von Transistoren über zwei Ebenen von Metallleitungen der Interconnect-Ebene gebildet werden soll, können die unteren Interconnect-Ebenen-Strukturen (Lo, L1, L2) eine Kontaktebenenstruktur Lo, eine erste Interconnect-Ebenen-Struktur L1 und eine zweite Interconnect-Ebenen-Struktur L2 umfassen. Die Kontaktebenenstruktur Lo kann eine Planarisierungs-Dielektrikumsschicht 31A, welche ein planarisierbares Dielektrikumsmaterial wie Siliziumoxid aufweist, und verschiedene Durchkontaktierungsstrukturen 41V aufweisen, welche eine entsprechende der Aktivzonen 14 oder der Gate-Elektroden 24 kontaktieren und innerhalb der Planarisierungs-Dielektrikumsschicht 31A ausgebildet sind. Die erste Interconnect-Ebenen-Struktur L1 weist eine erste Interconnect-Ebenen-Dielektrikumsschicht 31B und erste Metallleitungen 41L auf, welche innerhalb der ersten Interconnect-Ebenen-Dielektrikumsschicht 31B ausgebildet sind. Die erste Interconnect-Ebenen-Dielektrikumsschicht 31B wird auch als eine erste Leitungsebenen-Dielektrikumsschicht bezeichnet. Die ersten Metallleitungen 41L können eine entsprechende der Durchkontaktierungsstrukturen 41V kontaktieren. Die zweite Interconnect-Ebenen-Struktur L2 weist eine zweite Interconnect-Ebenen-Dielektrikumsschicht 32 auf, welche einen Stapel einer ersten Durchkontaktierungsebenen-Dielektrikumsmaterialschicht und einer zweiten Leitungsebenen-Dielektrikumsmaterialschicht oder einer Leitungs-und-Durchkontaktierungsebenen-Dielektrikumsmaterialschicht aufweist. Innerhalb der zweiten Interconnect-Ebenen-Dielektrikumsschicht 32 können zweite Interconnect-Ebenen-Metall-Interconnect-Strukturen (42V, 42L) ausgebildet sein, welche erste Metalldurchkontaktierungsstrukturen 42V und zweite Metallleitungen 42L aufweisen. Obere Flächen der zweiten Metallleitungen 42L können mit der oberen Fläche der zweiten Interconnect-Ebenen-Dielektrikumsschicht 32 coplanar sein.
  • Bezug nehmend auf 1B, kann in der Speicher-Array-Zone 50 über der zweiten Interconnect-Ebenen-Struktur L2 ein Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen gebildet werden. Die Einzelheiten für die Struktur und die Verarbeitungsschritte für das Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen werden nachstehend detailliert beschrieben. Während des Bildens des Arrays 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen kann eine dritte Interconnect-Ebenen-Dielektrikumsschicht 33 gebildet werden. Die Gruppe aller Strukturen, die auf der Ebene der Transistoren des Arrays 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen gebildet werden, wird hierin als eine dritte Interconnect-Ebenen-Struktur L3 bezeichnet.
  • Bezug nehmend auf 1C, können in der dritten Interconnect-Ebenen-Dielektrikumsschicht 33 dritte Interconnect-Ebenen-Metall-Interconnect-Strukturen (43V, 43L) gebildet werden. Die dritten Interconnect-Ebenen-Metall-Interconnect-Strukturen (43V, 43L) können zweite Metall-Durchkontaktierungsstrukturen 43V und dritte Metallleitungen 43L aufweisen. Anschließend können zusätzliche Interconnect-Ebenen-Strukturen gebildet werden, welche hierin als obere Interconnect-Ebenen-Strukturen (L4, L5, L6, L7) bezeichnet werden. Beispielsweise können die oberen Interconnect-Ebenen-Strukturen (L4, L5, L6, L7) eine vierte Interconnect-Ebenen-Struktur L4, eine fünfte Interconnect-Ebenen-Struktur L5, eine sechste Interconnect-Ebenen-Struktur L6 und eine siebte Interconnect-Ebenen-Struktur L7 aufweisen. Die vierte Interconnect-Ebenen-Struktur L4 kann eine vierte Interconnect-Ebenen-Dielektrikumsschicht 34 aufweisen, innerhalb welcher vierte Interconnect-Ebenen-Metall-Interconnect-Strukturen (44V, 44L) ausgebildet sind, welche dritte Metall-Durchkontaktierungsstrukturen 44V und vierte Metallleitungen 44L aufweisen können. Die fünfte Interconnect-Ebenen-Struktur L5 kann eine fünfte Interconnect-Ebenen-Dielektrikumsschicht 35 aufweisen, innerhalb welcher fünfte Interconnect-Ebenen-Metall-Interconnect-Strukturen (45V, 45L) ausgebildet sind, welche vierte Metall-Durchkontaktierungsstrukturen 45V und fünfte Metallleitungen 45L aufweisen können. Die sechste Interconnect-Ebenen-Struktur L6 kann eine sechste Interconnect-Ebenen-Dielektrikumsschicht 36 aufweisen, innerhalb welcher sechste Interconnect-Ebenen-Metall-Interconnect-Strukturen (46V, 46L) ausgebildet sind, welche fünfte Metall-Durchkontaktierungsstrukturen 46V und sechste Metallleitungen 46L aufweisen können. Die siebte Interconnect-Ebenen-Struktur L7 kann eine siebte Interconnect-Ebenen-Dielektrikumsschicht 37 aufweisen, innerhalb welcher sechste Metall-Durchkontaktierungsstrukturen 47V (welche siebte Interconnect-Ebenen-Metall-Interconnect-Strukturen sind) und Metall-Bonding-Pads 47B ausgebildet sind. Die Metall-Bonding-Pads 47B können zum Löten (was C4-Ball-Bonding oder Wire-Bonding umfassen kann) konfiguriert sein oder können zum Metall-auf-Metall-Bonding (z.B. Kupfer-auf-Kupfer-Bonding) konfiguriert sein.
  • Jede Interconnect-Ebenen-Dielektrikumsschicht kann als eine Interconnect-Ebenen-Dielektrikumsschicht (ILD-Schicht) 30 bezeichnet werden. Jede Interconnect-Ebenen-Metall-Interconnect-Struktur kann als eine Metall-Interconnect-Struktur 40 bezeichnet werden. Jede durchgängige Kombination aus einer Metall-Durchkontaktierungsstruktur und einer darüber liegenden Metallleitung, die innerhalb derselben Interconnect-Ebenen-Struktur (L2 bis L7) angeordnet sind, kann sequenziell als zwei getrennte Strukturen gebildet werden, indem zwei Einzel-Damaszener-Verfahren angewendet werden, oder sie kann gleichzeitig als eine einheitliche Struktur gebildet werden, indem ein Doppel-Damaszener-Verfahren angewendet wird. Jede der Metall-Interconnect-Strukturen 40 kann eine entsprechende metallische Auskleidung (z.B. eine TiN-, TaN- oder WN-Schicht mit einer Dicke in einem Bereich von 2 nm bis 20 nm) und ein entsprechendes metallisches Füllmaterial (z.B. W, Cu, Co, Mo, Ru, andere elementare Metalle oder eine Legierung oder eine Kombination davon) aufweisen. Andere geeignete Materialien zur Verwendung als eine metallische Auskleidung und ein metallisches Füllmaterial sind vom vorgesehenen Umfang der Offenbarung umfasst. Verschiedene Ätzstopp-Dielektrikumsschichten und dielektrische Deckschichten können zwischen vertikal benachbarten Paaren von ILD-Schichten 30 eingefügt werden oder können in eine oder mehrere der ILD-Schichten 30 eingebaut werden.
  • Obwohl die vorliegende Offenbarung unter Verwendung einer Ausführungsform beschrieben wird, bei welcher das Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen als eine Komponente einer dritten Interconnect-Ebenen-Struktur L3 gebildet werden kann, sind hierin ausdrücklich Ausführungsformen vorgesehen, bei welchen das Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen als Komponenten einer beliebigen anderen Interconnect-Ebenen-Struktur (z.B. L1 bis L7) gebildet werden kann. Ferner sind hierin, obwohl die vorliegende Offenbarung unter Verwendung einer Ausführungsform beschrieben wird, bei welcher eine Gruppe von acht Interconnect-Ebenen-Strukturen gebildet wird, ausdrücklich Ausführungsformen vorgesehen, bei welchen eine andere Anzahl an Interconnect-Ebenen-Strukturen verwendet wird. Außerdem sind hierin ausdrücklich Ausführungsformen vorgesehen, bei welchen zwei oder mehr Arrays 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen innerhalb mehrerer Interconnect-Ebenen-Strukturen in der Speicher-Array-Zone 50 bereitgestellt werden können. Obwohl die vorliegende Offenbarung unter Verwendung einer Ausführungsform beschrieben wird, bei welcher ein Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen in einer einzigen Interconnect-Ebenen-Struktur gebildet werden kann, sind hierin ausdrücklich Ausführungsformen vorgesehen, bei welchen ein Array 95 von nicht-flüchtigen Speicherzellen und Auswahlvorrichtungen über zwei vertikal angrenzenden Interconnect-Ebenen-Strukturen gebildet werden kann.
  • Herkömmliche Transistoren weisen Source- und Drain-Elektroden auf, welche eine Kanalschicht kontaktieren und sich mit einer Gate-Elektrode überlappen, die durch eine Gate-Isolationsschicht von dem Kanal getrennt ist. Während der Herstellung kann sich jedoch eine Kanalzone der Kanalschicht durch die Verarbeitungsbedingungen verschlechtern, z.B. durch Abscheidungsprozessplasma und/oder Kontakt mit Luft. Außerdem kann es schwierig sein, die Bildung einer N+-dotierten Zone genau zu steuern.
  • 2A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer ersten Dielektrikumsschicht über einem Substrat gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 2B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 2A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Bezug nehmend auf 2A und 2B, kann auf einem Substrat 100 eine erste Dielektrikumsschicht 102 abgeschieden werden. Das Substrat 100 kann ein beliebiges geeignetes Substrat sein, z.B. ein Kunststoff-, Glas- oder Halbleitersubstrat, und es kann Steuerungselemente aufweisen, die in FEOL-Prozessen gebildet werden. In einigen Ausführungsformen kann das Substrat 100 eine Dielektrikumsschicht der Interconnect-Ebene (Interconnect Level Dielectric Layer, ILD-Schicht) sein, wie z.B. die Dielektrikumsschicht der Interconnect-Ebene (ILD-Schicht) 33. In anderen Ausführungsformen kann das Substrat 100 eine Pufferschicht, die auf einem (nicht dargestellten) halbleitenden Substrat gebildet wird, oder eine isolierende Schicht in einem Back-End-Of-the-Line-Abschnitt (BEOL-Abschnitt) einer integrierten Halbleitervorrichtung sein. Bei der ersten Dielektrikumsschicht 102 kann es sich um ein isolierendes oder dielektrisches Material handeln, z.B. ein Siliziumoxid. Die erste Dielektrikumsschicht 102 kann durch ein beliebiges geeignetes Abscheidungsverfahren abgeschieden werden. Hierin können geeignete Abscheidungsverfahren chemische Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD), physikalische Abscheidung aus der Gasphase (Physical Vapor Deposition, PVD), Atomschichtabscheidung (Atomic Layer Deposition, ALD), CVD mit hochdichtem Plasma (High Density Plasma CVD, HDPCVD), Metallorganische CVD (MOCVD), plasmaunterstützte CVD (Plasma Enhanced CVD, PECVD), Sputtern, Laser-Ablation oder Ähnliches umfassen. In anderen Ausführungsformen kann man die erste Dielektrikumsschicht 102 aus der darunter liegenden Silizium-Substratschicht anwachsen lassen.
  • 3A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Bilden eines Wortleitungsgrabens in der ersten Dielektrikumsschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 3B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 3A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Bezug nehmend auf 3A und 3B, kann über der ersten Dielektrikumsschicht 102 eine Photoresistschicht 101 aufgebracht werden. Die Photoresistschicht 101 kann lithographisch strukturiert werden, um eine Leitungs- und Raumstruktur zu bilden, welche Photoresistmaterial-Streifen aufweist, die sich entlang der ersten horizontalen Richtung hd1 erstrecken. Um unmaskierte Abschnitte der ersten Dielektrikumsschicht 102 zu ätzen, kann ein anisotropes Ätzverfahren durchgeführt werden. In Bereichen, die nicht durch die Photoresistmaterial-Streifen maskiert sind, kann ein Wortleitungsgraben 103 gebildet werden, welcher sich entlang der ersten horizontalen Richtung hd1 erstreckt. Bei dem anisotropen Ätzverfahren kann ein beliebiges geeignetes Ätzverfahren angewendet werden, z.B. ein Nass- oder Trockenätzverfahren. Die Photoresistschicht 101 kann anschließend entfernt werden, beispielsweise durch Veraschen.
  • 4A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden eines metallischen Füllmaterials in dem Wortleitungsgraben zum Bilden einer Wortleitung gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 4B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 4A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Bezug nehmend auf 4A und 4B, kann über der ersten Dielektrikumsschicht 102 ein elektrisch leitfähiges metallisches Füllmaterial abgeschieden werden, um den Wortleitungsgraben 103 zu füllen. Anschließend kann ein Planarisierungsverfahren, wie z.B. CMP, durchgeführt werden, um obere Flächen der ersten Dielektrikumsschicht 102 und der Wortleitungen 110 zu planarisieren und etwaiges überschüssiges elektrisch leitfähiges metallisches Füllmaterial von der oberen Fläche der ersten Dielektrikumsschicht 102 und dem Wortleitungsgraben 103 zu entfernen. Die Wortleitungen 110 können aus einem beliebigen geeigneten elektrisch leitfähigen metallischen Füllmaterial gebildet werden, wobei ein beliebiges geeignetes Abscheidungsverfahren angewendet wird, wie hierin beschrieben. Beispielsweise können die Wortleitungen 110 aus Kupfer, Aluminium, Zirkonium, Titan, Titannitrid, Wolfram, Tantal, Tantalnitrid, Ruthenium, Palladium, Platin, Kobalt, Nickel, Iridium, Legierungen davon oder Ähnlichem gebildet werden. Andere geeignete elektrisch leitfähige metallische Füllmaterialien sind vom vorgesehenen Umfang der Offenbarung umfasst.
  • 5A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Abscheiden einer Gate-Dielektrikums-Deckschicht und eines Materials einer Halbleiterkanal-Deckschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 5B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 5A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Bezug nehmend auf 5A und 5B, können auf der ersten Dielektrikumsschicht 102 eine Gate-Dielektrikumsmaterial-Deckschicht 116L und eine Halbleiterkanalmaterial-Deckschicht 120L abgeschieden werden, die Wortleitungen 110 bedeckend. Insbesondere kann die Gate-Dielektrikums-Deckschicht 116L aus einem beliebigen geeigneten Material gebildet werden, wie z.B. SiO2, HfO2, Al2O3, Ta2O5, ZrO2, TiO2 oder Kombinationen davon. Die Gate-Dielektrikums-Deckschicht 116L kann durch beliebige der geeigneten Abscheidungstechniken abgeschieden werden, die oben in Bezug auf die erste Dielektrikumsschicht 102 beschrieben werden. Eine Dicke der durchgängigen Gate-Dielektrikumsmaterial-Deckschicht 116L kann in einem Bereich von etwa 5 Ängström bis etwa 500 Ängström liegen.
  • Die Halbleiterkanalmaterial-Deckschicht 120L kann durch ein beliebiges geeignetes Abscheidungsverfahren abgeschieden werden, wie hierin beschrieben. Bei der Halbleiterkanalmaterial-Deckschicht 120L kann es sich um ein Halbleitermaterial handeln. Beispielsweise kann die Halbleiterkanalmaterial-Deckschicht 120L Polysilizium, amorphes Silizium oder ein Metalloxid-Halbleitermaterial enthalten, wie z.B. Indiumgalliumzinkoxid (IGZO), Indiumzinnoxid (ITO), InWO, InZnO, InSnO, GaOx, InOx oder Ähnliches. Allerdings sind auch andere geeignete Halbleitermaterialien vom vorgesehenen Umfang der vorliegenden Offenbarung umfasst. Eine Dicke der durchgängigen Kanalschicht 120L kann in einem Bereich von etwa 5 Ängström bis etwa 500 Ängström liegen. Die durchgängige Gate-Dielektrikumsschicht 116L und die durchgängige Kanalschicht 120L können durch ein beliebiges geeignetes Verfahren hergestellt werden, wie z.B. chemische Abscheidung aus der Gasphase (CVD), plasmaunterstützte chemische Abscheidung aus der Gasphase (PECVD) oder Atomschichtabscheidung.
  • 6A ist eine Draufsicht auf eine Zwischenstruktur eines Transistors nach dem Strukturieren der Gate-Dielektrikums-Deckschicht und einer Halbleiterkanal-Deckschicht gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. 6B ist eine vertikale Querschnittsansicht entlang der Linie A-A` der 6A gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung. Bezug nehmend auf 6A und 6B, kann die Halbleiterkanalmaterial-Deckschicht 120L strukturiert werden, um einen Halbleiterkanal 120 zu bilden. Insbesondere kann über der Halbleiterkanalmaterial-Deckschicht 120L eine Photoresistschicht 101 aufgebracht werden. Die Photoresistschicht 101 kann lithographisch strukturiert werden, um eine Leitungsstruktur zu bilden, welche Photoresistmaterial-Streifen aufweist, die sich entlang der zweiten horizontalen Richtung hd2 erstrecken. Um unmaskierte Abschnitte der Halbleiterkanalmaterial-Deckschicht 120L zu ätzen, kann ein anisotropes Ätzverfahren durchgeführt werden. In Bereichen, die nicht durch die Photoresistmaterial-Streifen maskiert sind, können ein Halbleiterkanal 120 und ein Gate-Dielektrikum 116 gebildet werden, wobei sich beide entlang der zweiten horizontalen Richtung hd2 erstrecken. Bei dem anisotropen Ätzverfahren kann ein beliebiges geeignetes Ätzverfahren angewendet werden, z.B. ein Nass- oder Trockenätzverfahren. Die Photoresistschicht 101 kann anschließend entfernt werden, beispielsweise durch Veraschen.
  • Wie in 6A und 6B dargestellt, kann die Gate-Dielektrikumsschicht 116 ebenfalls geätzt werden. In anderen Ausführungsformen kann jedoch die Halbleiterkanalmaterial-Deckschicht 120L selektiv zu der Gate-Dielektrikumsschicht geätzt werden (z.B. kann die Gate-Dielektrikumsschicht 116L weitgehend ungeätzt bleiben).
  • Bezug nehmend auf 7A und 7B, kann über der Zwischenstruktur, die in 6A und 6B dargestellt ist, eine ILD-Schicht 109 gebildet werden. Die ILD-Schicht 109 kann aus Siliziumdioxid, fluoriertem Siliziumglas (FSG), Borosilikatglas (BSG) und/oder anderen isolierenden Materialien hergestellt werden.
  • Bezug nehmend auf 8A und 8B, können nach dem Bilden der ILD-Schicht 109 Durchkontaktierungslöcher 111 in der ILD-Schicht 109 gebildet werden. Beispielsweise kann über der ILD-Schicht 109 eine (nicht dargestellte) Photoresistschicht aufgebracht werden. Die Photoresistschicht kann lithographisch strukturiert werden, um Öffnungen für die Durchkontaktierungslöcher 111 zu bilden. Um unmaskierte Abschnitte der ILD-Schicht 109 zu ätzen, kann ein anisotropes Ätzverfahren durchgeführt werden. In Bereichen, die nicht durch die Photoresistmaterial-Streifen maskiert sind, können Durchkontaktierungslöcher gebildet werden. Die Durchkontaktierungslöcher können in einer zweiten horizontalen Richtung hd2 einen Abstand voneinander aufweisen. Die Durchkontaktierungslöcher 111 erstrecken sich bis zu der strukturierten Kanalschicht 120 und legen dadurch Abschnitte der strukturierten Kanalschicht 120 frei. Bei dem anisotropen Ätzverfahren kann ein beliebiges geeignetes Ätzverfahren angewendet werden, z.B. ein Nass- oder Trockenätzverfahren. Die Photoresistschicht kann anschließend entfernt werden, beispielsweise durch Veraschen.
  • Bezug nehmend auf 9A und 9B, kann über der Zwischenstruktur, die in 8A und 8B dargestellt ist, formangepasst eine Metallschicht 113 abgeschieden werden. Die Metallschicht 113 kann aus einem beliebigen Material hergestellt werden, welches mit der strukturierten Kanalschicht 120 und der ILD-Schicht 109 reagieren kann. In verschiedenen Ausführungsformen enthält die Metallschicht Aluminium Die Metallschicht 113 kann durch CVD, PECVD, ALD oder ein beliebiges anderes Verfahren abgeschieden werden. Die Metallschicht 113 kann eine Dicke von 1 nm bis 20 nm aufweisen, wenngleich auch geringere oder größere Dicken verwendet werden können.
  • Bezug nehmend auf 10A und 10B, kann die Zwischenstruktur, die in 9A und 9B dargestellt ist, einem Temperverfahren in Gegenwart von Sauerstoff unterzogen werden, wobei die Metallschicht 113 erwärmt werden kann, so dass sie mit der strukturierten Kanalschicht 120 und Sauerstoff in der umgebenden Atmosphäre reagiert. In einer Ausführungsform enthält die strukturierte Kanalschicht 120 IGZO und die Metallschicht 113 enthält Al. In dieser Ausführungsform reagiert der mit der Metallschicht 113 in Kontakt stehende Abschnitt der strukturierten Kanalschicht 120 mit der Metallschicht 113, so dass über der strukturierten Kanalschicht 120 selbstausrichtende Aktivzonen 117 gebildet werden, welche AlOx-InOx-ZnOx enthalten. Zwischen dem Paar selbstausrichtender Aktivzonen 117 befindet sich eine Kanalzone 120C. Die Reaktion kann zur Bildung von Sauerstoff-Fehlstellen in der selbstausrichtenden Aktivzone 117 führen, was dazu führen kann, dass die selbstausrichtende Aktivzone 117 eine n+-dotierte Zone wird. Außerdem kann die Metallschicht 113, welche sich nicht in direktem Kontakt mit der Kanalschicht befindet, mit dem Sauerstoffgas beim Tempern reagieren, so dass eine selbstausrichtende Passivierungsschutzschicht 115 aus Aluminiumoxid (Al2O3) an den Seitenwänden der Durchkontaktierungslöcher 111 gebildet wird. Die selbstausrichtende Passivierungsschutzschicht 115 kleidet die Durchkontaktierungslöcher 111 aus und befindet sich deshalb mit den Aktivzonen 117 in Selbstausrichtung. Ferner kann, wie in 10A und 10B dargestellt, die selbstausrichtende Passivierungsschutzschicht 115 auch die obere Fläche der ILD-Schicht 109 schützen.
  • Bezug nehmend auf 11A und 11B, können Durchkontaktierungsstrukturen 119 gebildet werden, indem der verbleibende Raum in den Durchkontaktierungslöchern 111 mit einem leitfähigen Material gefüllt wird. Die Kontaktstrukturen 119 können elektrisch mit den Aktivzonen 117 verbunden werden. Beispielhafte leitfähige Materialien umfassen TiN, TaN und/oder WN, W, Cu, Co, Mo, Ru, andere elementare Metalle oder eine Legierung oder eine Kombination davon. Auf diese Weise kann ein Transistor 100 einer Ausführungsform fertiggestellt werden. Wie dargestellt, ist der Transistor 100 ein Feldeffekttransistor mit unterem Gate. Gegebenenfalls kann nach dem Bilden der Durchkontaktierungsstrukturen 119 ein Planarisierungsschritt durchgeführt werden. Der Planarisierungsschritt kann beispielsweise durch chemisch-mechanisches Polieren derart durchgeführt werden, dass etwaiges überschüssiges leitfähiges Material der Durchkontaktierungsstrukturen 119 entfernt werden kann. Außerdem können eine obere Fläche der ILD-Schicht 109 und obere Flächen der Durchkontaktierungsstrukturen 119 coplanar sein.
  • 12 ist eine Draufsicht, welche den Transistor 100 veranschaulicht, der in 11A und 11B veranschaulicht ist. Die ILD-Schicht 109 ist nicht dargestellt, damit Einzelheiten des Transistors 100 zu sehen sind. Es sind Umrisse verschiedener Strukturen auf verschiedenen Ebenen innerhalb des Transistors 100 dargestellt. Wie dargestellt, umgibt die selbstausrichtende Passivierungsschutzschicht 115 die Durchkontaktierungsstruktur 119. Ferner erstreckt sich die strukturierte Kanalschicht 120 lateral in einer zweiten horizontalen Richtung hd2 über die Durchkontaktierungsstrukturen 119 hinaus, wobei die Aktivzone 117 in der strukturierten Kanalschicht 120 unterhalb der Durchkontaktierungsstrukturen 119 angeordnet ist. Die Durchkontaktierungsstrukturen 119 und die darunter liegenden Aktivzonen 117 können entlang einer zweiten horizontalen Richtung hd2 getrennt sein. Die Gate-Elektroden-/Wortleitung 110 kann sich entlang einer ersten horizontalen Richtung hd1 erstrecken, welche senkrecht zu der zweiten horizontalen Richtung hd2 verläuft.
  • 13A bis 17B veranschaulichen einen Transistor einer alternativen Ausführungsform und ein Herstellungsverfahren. Bezug nehmend auf 13A und 13B, kann über der Zwischenstruktur, die in 6A und 6B dargestellt ist, eine untere Schutzschicht 121 gebildet werden, nachdem die Photoresistschicht 101 entfernt sein kann. In 13A ist der Umriss des darunter liegenden strukturierten Kanals 120 und der darunter liegenden Gate-Dielektrikumsschicht 116 dargestellt. In verschiedenen Ausführungsformen kann die untere Schutzschicht 121 formangepasst über der strukturierten Kanalzone 120 abgeschieden werden. Die untere Schutzschicht 121 kann aus demselben Material hergestellt sein wie die selbstausrichtende Passivierungsschutzschicht 115. Das heißt, die untere Schutzschicht 121 kann aus Al2O3 hergestellt sein. Jedoch kann die untere Schutzschicht 121 aus jedem beliebigen geeigneten Material hergestellt sein.
  • Bezug nehmend auf 14A und 14B, kann über der Zwischenstruktur, die in 13A und 13B dargestellt ist, eine ILD-Schicht 109 abgeschieden werden. Anschließend können in der ILD-Schicht 109 Durchkontaktierungslöcher 111 gebildet werden. In verschiedenen Ausführungsformen erstrecken sich die Durchkontaktierungslöcher 111 durch die untere Schutzschicht 121 bis zur oberen Fläche der strukturierten Kanalschicht 120C. Die Durchkontaktierungslöcher 111 können in einem einzigen Ätzschritt oder in einer Reihe von aufeinanderfolgenden Ätzschritten gebildet werden. Ferner können die Durchkontaktierungslöcher 111 durch Nassätzen, Trockenätzen oder eine Kombination davon gebildet werden.
  • Bezug nehmend auf 15A und 15B, kann über der Zwischenstruktur, die in 14A und 14B dargestellt ist, formangepasst eine Metallschicht 113 abgeschieden werden. Wie in der obigen Ausführungsform, die in 9A und 9B veranschaulicht ist, kann die Metallschicht 113 aus einem beliebigen geeigneten Metall hergestellt werden. In verschiedenen Ausführungsformen kann die Metallschicht 113 Al oder Legierungen davon enthalten.
  • Bezug nehmend auf 16A und 16B, kann die Zwischenstruktur, die in 15A und 15B dargestellt ist, einem Temperverfahren in einer Sauerstoffatmosphäre unterzogen werden, wobei die Metallschicht 113 erwärmt werden kann, so dass sie mit der strukturierten Kanalschicht 120 und Sauerstoff in der umgebenden Atmosphäre reagiert. In einer Ausführungsform enthält die strukturierte Kanalschicht 120 IGZO und die Metallschicht 113 enthält Al. In dieser Ausführungsform reagiert der mit der Metallschicht 113 in Kontakt stehende Abschnitt der strukturierten Kanalschicht 120 mit der Metallschicht 113, so dass in der strukturierten Kanalschicht 120 selbstausrichtende Zonen 117 gebildet werden, welche AlOx-InOx-ZnOx enthalten. Zwischen dem Paar selbstausrichtender Aktivzonen 117 befindet sich eine Kanalzone 120C. Die Reaktion kann zur Bildung von Sauerstoff-Fehlstellen in der selbstausrichtenden Aktivzone 117 führen, was dazu führen kann, dass die selbstausrichtende Aktivzone 117 eine n+-dotierte Zone wird. Außerdem kann die Metallschicht 113, welche sich nicht in direktem Kontakt mit der Kanalschicht befindet, mit dem Sauerstoffgas beim Tempern reagieren, so dass eine selbstausrichtende Passivierungsschutzschicht 115 aus Aluminiumoxid (AI2O3) an den Seitenwänden der Durchkontaktierungslöcher 111 gebildet wird. Die selbstausrichtende Passivierungsschutzschicht 115 kleidet die Durchkontaktierungslöcher 111 aus und befindet sich deshalb mit den Aktivzonen 117 in Selbstausrichtung. Ferner kann, wie in 16A und 16B dargestellt, die selbstausrichtende Passivierungsschutzschicht 115 auch die obere Fläche der ILD-Schicht 109 schützen.
  • Bezug nehmend auf 17A und 17B, können Durchkontaktierungsstrukturen 119 gebildet werden, indem der verbleibende Raum in den Durchkontaktierungslöchern 111 mit einem leitfähigen Material gefüllt wird. Die Kontaktstrukturen 119 können elektrisch mit den Aktivzonen 117 verbunden werden. Beispielhafte leitfähige Materialien umfassen TiN, TaN und/oder WN, W, Cu, Co, Mo, Ru, andere elementare Metalle oder eine Legierung oder eine Kombination davon. Auf diese Weise kann ein Transistor 200 einer Ausführungsform fertiggestellt werden. Wie dargestellt, ist der Transistor 200 ein Feldeffekttransistor mit unterem Gate. Gegebenenfalls kann nach dem Bilden der Durchkontaktierungsstrukturen 119 ein Planarisierungsschritt durchgeführt werden. Der Planarisierungsschritt kann beispielsweise durch chemisch-mechanisches Polieren derart durchgeführt werden, dass etwaiges überschüssiges leitfähiges Material der Durchkontaktierungsstrukturen 119 entfernt werden kann. Außerdem können eine obere Fläche der ILD-Schicht 109 und obere Flächen der Durchkontaktierungsstrukturen 119 coplanar sein. Das Ergebnis ist ein Transistor 200, welcher eine selbstausrichtende Zone 117 über Abschnitten der strukturierten Kanalschicht 120, eine untere Schutzschicht 121 über den verbleibenden Abschnitten der strukturierten Kanalschicht 120 und eine selbstausrichtende Passivierungsschutzschicht 115 aufweist, welche die Durchkontaktierungsstrukturen 119 auskleidet.
  • 18 bis 23 veranschaulichen einen Transistor einer anderen Ausführungsform und ein Herstellungsverfahren dafür. Bezug nehmend auf 18, können auf der Fläche einer isolierenden Schicht 102, z.B. einer Pufferschicht, Durchkontaktierungsstrukturen 119 gebildet werden. Die Durchkontaktierungsstrukturen 119 können gebildet werden, indem zuerst eine durchgängige Schicht eines leitfähigen Materials abgeschieden wird. Als Nächstes kann über der durchgängigen Schicht des leitfähigen Materials eine Metallschicht 113 abgeschieden werden. Als Nächstes kann über der Metallschicht 113 eine (nicht dargestellte) Photoresistschicht abgeschieden und strukturiert werden. Abschnitte der Metallschicht 113 und der durchgängigen Schicht des leitfähigen Materials, die nicht durch die Photoresistschicht maskiert sind, können entfernt werden, z.B. durch Nassätzen oder trockenätzen. Die verbleibende Struktur kann als ein Aktivzonenstapel bezeichnet werden, welcher sowohl die Durchkontaktierungsstruktur 119 als auch die Metallschicht 113. So kann ein Paar von Aktivzonenstapeln gebildet werden.
  • Bezug nehmend auf 19, kann über der Zwischenstruktur, die in 18 dargestellt ist, formangepasst eine durchgängige Kanalschicht 120L abgeschieden und strukturiert werden, um eine strukturierte Kanalschicht 120 zu bilden. So können unter der Metallschicht 113 und der strukturierten Kanalschicht 120 die Durchkontaktierungsstrukturen 119 gebildet werden. Die durchgängige Kanalschicht 120L kann strukturiert werden, indem zuerst eine (nicht dargestellte) Photoresistschicht über der durchgängigen Kanalschicht 120L abgeschieden und strukturiert wird. Um die strukturierte Kanalschicht 120 zu bilden, können unmaskierte Abschnitte der durchgängigen Kanalschicht 120L entfernt werden. Wie in 19 veranschaulicht, können die Durchkontaktierungsstrukturen 119 an drei Seiten an die strukturierte Kanalschicht 120 grenzen.
  • Bezug nehmend auf 20, kann die Zwischenstruktur, die in 19 dargestellt ist, einem Temperverfahren unterzogen werden, um die Struktur zu erwärmen, um die Metallschicht 113 mit einem Abschnitt der strukturierten Kanalschicht 120 reagieren zu lassen, um eine selbstausrichtende Aktivzone 117 zu bilden, die mit einer unteren Fläche der strukturierten Kanalschicht 120 in Kontakt steht. Wie in den vorhergehenden Ausführungsformen kann sich in der strukturierten Kanalschicht 120 in Nachbarschaft zu der selbstausrichtenden Aktivzone 117 eine an Sauerstoff verarmte Zone bilden, was zu einer n+-Aktivzone 117 führt.
  • Bezug nehmend auf 21, kann über der Zwischenstruktur, die in 20 dargestellt ist, eine durchgängige Gate-Dielektrikumsschicht 116L gebildet werden. Die durchgängige Gate-Dielektrikumsschicht 116L kann durch ein beliebiges geeignetes Verfahren gebildet werden, wie z.B. CVD, PECVD oder ALD. Die durchgängige Gate-Dielektrikumsschicht 116L kann aus einem beliebigen geeigneten Material hergestellt werden, wie z.B. SiO2, HfO2, Al2O3, Ta2O5, ZrO2, TiO2 oder Kombinationen davon.
  • Bezug nehmend auf 22, kann auf der Zwischenstruktur, die in 21 dargestellt ist, eine Gate-Elektroden-/Wortleitung 110 gebildet werden. Die Gate-Elektroden-/Wortleitung 110 kann gebildet werden, indem zuerst eine (nicht dargestellte) Photoresistschicht auf der durchgängigen Gate-Dielektrikumsschicht 116L abgeschieden wird und die Photoresistschicht strukturiert wird. Anschließend kann über der strukturierten Photoresistschicht Gate-Material abgeschieden werden. Die Gate-Elektroden-/Wortleitung 110 wird durch Gate-Material auf der frei liegenden Fläche der durchgängigen Gate-Dielektrikumsschicht 116L gebildet. Überschüssiges Gate-Material kann in einem Abhebeverfahren mit der Photoresistschicht entfernt werden.
  • Bezug nehmend auf 23, kann über der Zwischenstruktur, die in 22 dargestellt ist, eine ILD-Schicht 109 gebildet werden. Nach dem Bilden der ILD-Schicht 109 kann die ILD-Schicht 109 planarisiert werden, z.B. durch chemisch-mechanisches Polieren. Auf diese Weise kann ein Transistor 300 einer Ausführungsform fertiggestellt werden. Wie dargestellt, ist der Transistor 300 ein Feldeffekttransistor mit oberem Gate, der manchmal als ein Feldeffekttransistor mit Front-Gate bezeichnet wird.
  • 24 veranschaulicht ein allgemeines Verfahren zur Herstellung eines Feldeffekttransistors 100 und 200. Bezug nehmend auf 24, umfasst das Verfahren 400. einen Schritt 401 des Abscheidens einer Metall-Wortleitung 110 in einem Graben, der in einer Pufferschicht 102 ausgebildet ist. Das Verfahren kann ferner den Schritt 402 des Abscheidens einer Gate-Dielektrikumsschicht 116 über der Wortleitung 110 und einer Pufferschicht 102 umfassen. Im Schritt 404 umfasst das Verfahren außerdem das Abscheiden einer halbleitenden Kanalschicht 120 über der Pufferschicht 102. Im Schritt 406 umfasst das Verfahren das Abscheiden einer Metallschicht 113 zwischen der strukturierten halbleitenden Kanalschicht 120 und den Durchkontaktierungsstrukturen 119, wobei die Metallschicht 113 mit der halbleitenden Kanalschicht 120 in direktem Kontakt steht. Im Schritt 408 umfasst das Verfahren das Tempern der mit der strukturierten halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113, um Aktivzonen 117 zu bilden, welche zu den Durchkontaktierungsstrukturen 119 selbstausrichtend sind. Im Schritt 410 umfasst das Verfahren das Bilden der Durchkontaktierungsstrukturen 119. In einigen Ausführungsformen kann das Verfahren ferner die Operationen des Bildens einer Zwischenschicht-Dielektrikumsschicht 109 über der strukturierten halbleitenden Kanalschicht 120 und des Bildens von Durchkontaktierungslöchern 111 in der Zwischenschicht-Dielektrikumsschicht 109 umfassen, wobei die Durchkontaktierungslöcher 111 Abschnitte einer oberen Fläche der strukturierten halbleitenden Kanalschicht 120 frei legen, wobei das Abscheiden der Metallschicht 113 zwischen der strukturierten halbleitenden Kanalschicht 120 und den Durchkontaktierungsstrukturen 119 das formangepasste Abscheiden der Metallschicht 113 über der Zwischenschicht-Dielektrikumsschicht 109, Seitenwänden der Durchkontaktierungslöcher 111 und den freigelegten Abschnitten der oberen Fläche der strukturierten halbleitenden Kanalschicht 120 umfasst; und wobei durch das Tempern der mit der strukturierten halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 außerdem eine selbstausrichtende Passivierungsschutzschicht 115 gebildet wird, die an Seitenwänden der Durchkontaktierungslöcher 111 angeordnet ist. In einigen Ausführungsformen umfasst das Verfahren zum Bilden der Durchkontaktierungsstrukturen 119 das Abscheiden eines leitfähigen Materials in den Durchkontaktierungslöchern 111 nach dem Tempern der mit der strukturierten halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113. In einigen Ausführungsformen kann das Verfahren das Bilden einer unteren Schutzschicht 121 über der strukturierten halbleitenden Kanalschicht 120 umfassen.
  • In einigen Ausführungsformen kann die Operation des Temperns der mit der strukturierten halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 vor dem Abscheiden der Gate-Dielektrikumsschicht 116 durchgeführt werden.
  • 25 veranschaulicht ein alternatives Verfahren 500 zur Herstellung eines alternativen Feldeffekttransistors 300. Bezug nehmend auf 25, umfasst das Verfahren 500 einen Schritt 502 des Abscheidens und Bildens von Durchkontaktierungsstrukturen 119 über einer Pufferschicht 102. Im Schritt 504 umfasst das Verfahren außerdem das Abscheiden und Bilden einer Metallschicht 113 über den Durchkontaktierungsstrukturen 119. Im Schritt 506 kann das Verfahren das Abscheiden und Strukturieren einer strukturierten halbleitenden Kanalschicht 120 über den Durchkontaktierungsstrukturen 119, der Metallschicht 113 und der Pufferschicht 102 derart umfassen, dass die Metallschicht 113 zwischen der der strukturierten halbleitenden Kanalschicht 120 und den Durchkontaktierungsstrukturen 119 angeordnet ist. Überdies kann die Metallschicht 113 in direktem Kontakt mit der der halbleitenden Kanalschicht 120 stehen. Im Schritt 508 umfasst das Verfahren das Tempern der mit der strukturierten halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113, um Aktivzonen 117 zu bilden, die zu den Durchkontaktierungsstrukturen 119 selbstausrichtend sind. Im Schritt 510 umfasst das Verfahren das Abscheiden einer durchgängigen Gate-Dielektrikumsschicht 116L durch ein beliebiges geeignetes Verfahren über der strukturierten halbleitenden Kanalschicht 120 und den Aktivzonen 117. Im Schritt 512 umfasst das Verfahren das Abscheiden einer Gate-Elektroden-/Wortleitung 110 auf der durchgängigen Gate-Dielektrikumsschicht 116L. Im Schritt 514 kann das Verfahren das Abscheiden und Planarisieren einer ILD-Schicht 109 über der Gate-Elektroden-/Wortleitung 110 und der durchgängigen Gate-Dielektrikumsschicht 116L umfassen.
  • Die vorliegende Offenbarung betrifft Halbleiter und insbesondere Feldeffekttransistoren 100, 200. Ausführungsformen der vorliegenden Offenbarung beziehen sich auf Feldeffekttransistoren 100, 200, welche ein Aktivzonen-Paar 117 aufweisen, die über der Kanalschicht 120 ausgebildet sein können. Die Feldeffekttransistoren 100, 200, 300 können eine Kanalzone 120C aufweisen, die in der Kanalschicht 120L ausgebildet ist, wobei die Kanalzone 120C zwischen dem Aktivzonen-Paar 117 angeordnet ist. Die Feldeffekttransistoren 100, 200 können ferner ein Paar von Durchkontaktierungsstrukturen 119 aufweisen, welche elektrisch mit dem Aktivzonen-Paar 117 verbunden sind, wobei die Aktivzonen 117 zu den Durchkontaktierungsstrukturen 119 selbstausrichtend sind.
  • In Ausführungsformen der vorliegenden Offenbarung kann das Aktivzonen-Paar 117 selbstausrichtende n+-dotierte Zonen aufweisen. Eine andere Ausführungsform kann ferner eine selbstausrichtende Passivierungsschutzschicht 115 umfassen, welche an Seitenwänden der Durchkontaktierungsstrukturen 119 angeordnet ist. In einigen Ausführungsformen kann die Metallschicht 113 eine untere Passivierungsschutzschicht 121 aufweisen, welche zwischen der Kanalschicht 120 und einer Zwischenschicht-Dielektrikumsschicht 109 angeordnet ist, die über der Kanalschicht 120 ausgebildet ist, wobei die untere Passivierungsschutzschicht 121 und die selbstausrichtende Passivierungsschutzschicht 115 das gleiche Material enthalten. In einer Ausführungsform der vorliegenden Offenbarung kann das Aktivzonen-Paar 117 auf einer oberen Fläche der Kanalschicht 120 und auf einer unteren Fläche der Durchkontaktierungsstrukturen 119 angeordnet sein. In einer Ausführungsform der vorliegenden Offenbarung enthält die Kanalschicht 120 Indiumgalliumzinkoxid und die Aktivzonen 1117 enthalten AlOx-InOx-ZnOx.
  • Andere Ausführungsformen sind auf einen Feldeffekttransistor 300 bezogen. Der Feldeffekttransistor 300 kann ein Durchkontaktierungsstrukturen-Paar 119 aufweisen, wobei ein Aktivzonen-Paar 117 elektrisch mit dem Durchkontaktierungsstrukturen-Paar 119 verbunden ist, wodurch ein Aktivzonenstapel-Paar gebildet wird, wobei das Aktivzonen-Paar 117 zu den Durchkontaktierungsstrukturen 119 selbstausrichtend ist. Der Feldeffekttransistor 300 kann außerdem eine Kanalzone 120C aufweisen, die in der Kanalschicht 120L ausgebildet ist, wobei die Kanalzone 120C zwischen dem Aktivzonen-Paar 117 angeordnet ist. Das Aktivzonen-Paar 117 kann auf einer unteren Fläche der Kanalschicht 120 angeordnet sein. In einer anderen Ausführungsform des Feldeffekttransistors 300 kann das Aktivzonenstapel-Paar an drei Seiten an die Kanalschicht 120 grenzen. In einer anderen Ausführungsform des Feldeffekttransistors 300 weist das Aktivzonen-Paar selbstausrichtende n+-dotierte Zonen. In einer weiteren Ausführungsform des Feldeffekttransistors 300 kann das Aktivzonen-Paar auf einer oberen Fläche der Durchkontaktierungsstrukturen 119 und auf einer unteren Fläche der Kanalschicht 120 angeordnet sein. In einer weiteren Ausführungsform des Feldeffekttransistors 300 enthält die Kanalschicht 120 Indiumgalliumzinkoxid und das Aktivzonen-Paar 117 enthält AlOx-InOx-ZnOx.
  • Andere Ausführungsformen beziehen sich auf ein Verfahren 400 zur Herstellung eines Feldeffekttransistors 100, 200, umfassend das Abscheiden einer Wortleitung 110 in einem Graben 103 über einer Pufferschicht 102. Das Verfahren kann ferner das Abscheiden einer Gate-Dielektrikumsschicht 116 über einer Wortleitung 110; das Abscheiden einer halbleitenden Kanalschicht 120L über der Gate-Dielektrikumsschicht 116; das Strukturieren der halbleitenden Kanalschicht 120L und der Gate-Dielektrikumsschicht 116; das Abscheiden einer Metallschicht 113 über der halbleitenden Kanalschicht 120, wobei die Metallschicht 113 in direktem Kontakt mit der halbleitenden Kanalschicht 120 steht; und das Tempern der mit der halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 zum Bilden Aktivzonen 117 und das Bilden von Durchkontaktierungsstrukturen 119 umfassen, wobei die Aktivzonen 117 zu den Durchkontaktierungsstrukturen 119 selbstausrichtend sind. Verfahren einiger Ausführungsformen können außerdem die Operationen des Bildens einer unteren Schutzschicht 121 über der strukturierten halbleitenden Kanalschicht 120 umfassen. In einem Verfahren einer anderen Ausführungsform kann die halbleitende Kanalschicht 120 ein Metalloxid-Halbleitermaterial enthalten. In einem Verfahren einer Ausführungsform kann das Verfahren außerdem die Schritte des Bildens einer Zwischenschicht-Dielektrikumsschicht 109 über der halbleitenden Kanalschicht 120; und des Bildens von Durchkontaktierungslöchern 111 in der Zwischenschicht-Dielektrikumsschicht 109 umfassen, wobei die Durchkontaktierungslöcher 111 Abschnitte einer oberen Fläche der halbleitenden Kanalschicht 120 frei legen; wobei das Abscheiden der Metallschicht 113 über der halbleitenden Kanalschicht 120 die Operation des formangepassten Abscheidens der Metallschicht 113 über der Zwischenschicht-Dielektrikumsschicht 109, Seitenwänden der Durchkontaktierungslöcher 111 und freigelegten Abschnitten der oberen Fläche der halbleitenden Kanalschicht 120 umfasst; und wobei durch das Tempern der mit der halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 außerdem eine selbstausrichtende Passivierungsschutzschicht 115 gebildet wird, welche an Seitenwänden der Durchkontaktierungslöcher 111 angeordnet ist. In einem Verfahren einer Ausführungsform kann die Metallschicht 113 Aluminium enthalten und der Schritt des Temperns der mit der halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 kann den Schritt des Temperns in Gegenwart von Sauerstoff umfassen, um selbstausrichtende AlOx-InOx-ZnOx-Aktivzonen 117 zu bilden. In einem Verfahren einer Ausführungsform kann die Metallschicht Aluminium enthalten und der Schritt des Temperns der mit der halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 kann den Schritt des Temperns in Gegenwart von Sauerstoff umfassen, um eine selbstausrichtende Al2O3-Schutzschicht 115 an den Seitenwänden der Durchkontaktierungsstrukturen 119 zu bilden. In einem Verfahren einer Ausführungsform kann das Tempern der mit der halbleitenden Kanalschicht 120 in direktem Kontakt befindlichen Metallschicht 113 zum Bilden Aktivzonen 117, die zu den Durchkontaktierungsstrukturen 119 selbstausrichtend sind, den Schritt des Bildens selbstausrichtender n+-dotierter Aktivzonen 117 über der Kanalzone 120C umfassen.
  • Im Vorstehenden werden Merkmale verschiedener Ausführungsformen so umrissen, dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann erkennt, dass er die vorliegende Offenbarung einfach als eine Basis zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erfüllen derselben Zwecke und/oder Erhalten derselben Vorteile wie bei den hier vorgestellten Ausführungsformen nutzen kann. Der Fachmann realisiert auch, dass solche äquivalenten Konstruktionen nicht von der Idee und vom Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen hieran vornehmen kann, ohne von der Idee und vom Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/042579 [0001]

Claims (20)

  1. Feldeffekttransistor, aufweisend: ein Aktivzonen-Paar über einer Kanalschicht; eine Kanalzone, welche in der Kanalschicht ausgebildet ist und zwischen dem Aktivzonen-Paar angeordnet ist; und ein Durchkontaktierungsstrukturen-Paar, welches mit dem Aktivzonen-Paar elektrisch verbunden ist, wobei das Aktivzonen-Paar zu den Durchkontaktierungsstrukturen selbstausrichtend ist.
  2. Feldeffekttransistor nach Anspruch 1, wobei das Aktivzonen-Paar selbstausrichtende n+dotierte Zonen aufweist.
  3. Feldeffekttransistor nach Anspruch 1 oder 2, welcher ferner eine selbstausrichtende Passivierungsschutzschicht aufweist, die an Seitenwänden der Durchkontaktierungsstrukturen angeordnet ist.
  4. Feldeffekttransistor nach Anspruch 3, welcher ferner eine untere Passivierungsschutzschicht aufweist, die zwischen der Kanalschicht und einer Zwischenschicht-Dielektrikumsschicht angeordnet ist, die über der Kanalschicht ausgebildet ist, wobei die untere Passivierungsschutzschicht und die selbstausrichtende Passivierungsschutzschicht das gleiche Material enthalten.
  5. Feldeffekttransistor nach einem der vorhergehenden Ansprüche, wobei das Aktivzonen-Paar auf einer oberen Fläche der Kanalschicht und auf einer unteren Fläche der Durchkontaktierungsstrukturen angeordnet ist.
  6. Feldeffekttransistor nach einem der vorhergehenden Ansprüche, wobei die Kanalschicht Indiumgalliumzinkoxid enthält und das Aktivzonen-Paar AlOx-InOx-ZnOx enthält.
  7. Feldeffekttransistor, aufweisend: ein Durchkontaktierungsstrukturen-Paar; ein Aktivzonen-Paar, welches elektrisch mit dem Durchkontaktierungsstrukturen-Paar verbunden ist, wodurch ein Aktivzonenstapel-Paar gebildet wird, wobei das Aktivzonen-Paar selbstausrichtend zu den Durchkontaktierungsstrukturen ist; und eine Kanalzone, welche in einer Kanalschicht ausgebildet ist und zwischen dem Aktivzonen-Paar angeordnet ist, wobei das Aktivzonen-Paar auf einer unteren Fläche der Kanalschicht angeordnet ist.
  8. Feldeffekttransistor nach Anspruch 7, wobei das Aktivzonenstapel-Paar an drei Seiten an die Kanalschicht grenzt.
  9. Feldeffekttransistor nach Anspruch 7 oder 8, wobei das Aktivzonen-Paar selbstausrichtende n+-dotierte Zonen aufweist.
  10. Feldeffekttransistor nach Anspruch 7, 8 oder 9, wobei das Aktivzonen-Paar auf einer oberen Fläche der Durchkontaktierungsstrukturen und auf einer unteren Fläche der Kanalschicht angeordnet ist.
  11. Feldeffekttransistor nach Anspruch 7, 8, 9 oder 10, wobei die Kanalschicht Indiumgalliumzinkoxid enthält und das Aktivzonen-Paar AlOx-InOx-ZnOx enthält.
  12. Verfahren zur Herstellung eines Feldeffekttransistors, umfassend: Abscheiden einer Wortleitung in einem Graben über einer Pufferschicht; Abscheiden einer Gate-Dielektrikumsschicht über der Wortleitung; Abscheiden einer halbleitenden Kanalschicht über der Gate-Dielektrikumsschicht; Strukturieren der halbleitenden Kanalschicht und der Gate-Dielektrikumsschicht; Abscheiden einer Metallschicht über der halbleitenden Kanalschicht, wobei die Metallschicht in direktem Kontakt mit der halbleitenden Kanalschicht steht; Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht, um Aktivzonen zu bilden; und Bilden von Durchkontaktierungsstrukturen, wobei die Aktivzonen selbstausrichtend zu den Durchkontaktierungsstrukturen sind.
  13. Verfahren nach Anspruch 12, ferner umfassend ein Bilden einer unteren Schutzschicht über der strukturierten halbleitenden Kanalschicht.
  14. Verfahren nach Anspruch 12 oder 13, wobei die halbleitende Kanalschicht ein Metalloxid-Halbleitermaterial enthält.
  15. Verfahren nach einem der Ansprüche 12 bis 14, ferner umfassend: Bilden einer Zwischenschicht-Dielektrikumsschicht über der halbleitenden Kanalschicht; Bilden von Durchkontaktierungslöchern in der Zwischenschicht-Dielektrikumsschicht, wobei die Durchkontaktierungslöcher Abschnitte einer oberen Fläche der halbleitenden Kanalschicht frei legen; und wobei das Abscheiden der Metallschicht über der halbleitenden Kanalschicht ein formangepasstes Abscheiden der Metallschicht über der Zwischenschicht-Dielektrikumsschicht, Seitenwänden der Durchkontaktierungslöcher und freigelegten Abschnitten der oberen Fläche der halbleitenden Kanalschicht umfasst; und wobei durch das Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht außerdem eine selbstausrichtende Passivierungsschutzschicht gebildet wird, die an Seitenwänden der Durchkontaktierungslöcher angeordnet ist.
  16. Verfahren nach Anspruch 15, wobei das Bilden der Durchkontaktierungsstrukturen ein Abscheiden eines leitfähigen Materials in den Durchkontaktierungslöchern nach dem Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht umfasst.
  17. Verfahren nach Anspruch 15 oder 16, wobei das Abscheiden der Metallschicht über der halbleitenden Kanalschicht ein formangepasstes Abscheiden der Metallschicht über der Zwischenschicht-Dielektrikumsschicht und an Seitenwänden der Durchkontaktierungslöcher umfasst; und wobei das Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht zum Bilden der Aktivzonen ein Bilden einer selbstausrichtenden Passivierungsschutzschicht umfasst, die an Seitenwänden der Durchkontaktierungsstrukturen angeordnet ist.
  18. Verfahren nach Anspruch 17, wobei die Metallschicht Aluminium enthält und das Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht ein Tempern in Gegenwart von Sauerstoff umfasst, um selbstausrichtende AlOx-InOx-ZnOx-Aktivzonen zu bilden.
  19. Verfahren nach Anspruch 17, wobei die Metallschicht Aluminium enthält und das Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht ein Tempern in Gegenwart von Sauerstoff umfasst, um eine selbstausrichtende Al2O3-Schutzschicht an den Seitenwänden der Durchkontaktierungsstrukturen zu bilden.
  20. Verfahren nach Anspruch 17, wobei das Tempern der mit der halbleitenden Kanalschicht in direktem Kontakt befindlichen Metallschicht zum Bilden der Aktivzonen, die selbstausrichtend zu den Durchkontaktierungsstrukturen sind, ein Bilden selbstausrichtender n+-dotierter Aktivzonen in der Kanalzone umfasst.
DE102021109577.8A 2020-06-23 2021-04-16 Selbstausrichtende aktivzonen und passivierungsschicht und herstellungsverfahren dafür Pending DE102021109577A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063042579P 2020-06-23 2020-06-23
US63/042,579 2020-06-23
US17/227,460 2021-04-12
US17/227,460 US11817485B2 (en) 2020-06-23 2021-04-12 Self-aligned active regions and passivation layer and methods of making the same

Publications (1)

Publication Number Publication Date
DE102021109577A1 true DE102021109577A1 (de) 2021-12-23

Family

ID=77997541

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021109577.8A Pending DE102021109577A1 (de) 2020-06-23 2021-04-16 Selbstausrichtende aktivzonen und passivierungsschicht und herstellungsverfahren dafür

Country Status (5)

Country Link
US (2) US11817485B2 (de)
KR (1) KR20210158324A (de)
CN (1) CN113497152A (de)
DE (1) DE102021109577A1 (de)
TW (1) TWI778657B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11705516B2 (en) * 2021-01-08 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Polarization enhancement structure for enlarging memory window

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654568A (en) * 1992-01-17 1997-08-05 Rohm Co., Ltd. Semiconductor device including nonvolatile memories
JP3768794B2 (ja) 2000-10-13 2006-04-19 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TWI516373B (zh) 2014-01-17 2016-01-11 三芳化學工業股份有限公司 硏磨墊、硏磨裝置及製造硏磨墊之方法
US9853102B2 (en) * 2014-04-30 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
KR102317651B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10460984B2 (en) * 2015-04-15 2019-10-29 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating electrode and semiconductor device
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10468984B2 (en) * 2016-07-01 2019-11-05 Dialog Semiconductor (Uk) Limited DC-DC switching converter with adaptive voltage positioning combined with digital-to-analog converter servo
US10147722B2 (en) 2016-08-12 2018-12-04 Renesas Electronics America Inc. Isolated circuit formed during back end of line process
WO2018111247A1 (en) 2016-12-13 2018-06-21 Intel Corporation Passivation dielectrics for oxide semiconductor thin film transistors
US10032908B1 (en) * 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10403639B2 (en) * 2017-07-18 2019-09-03 Sandisk Technologies Llc Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same
US11335789B2 (en) 2018-09-26 2022-05-17 Intel Corporation Channel structures for thin-film transistors

Also Published As

Publication number Publication date
TWI778657B (zh) 2022-09-21
US20210399100A1 (en) 2021-12-23
TW202201557A (zh) 2022-01-01
US20230387224A1 (en) 2023-11-30
KR20210158324A (ko) 2021-12-30
US11817485B2 (en) 2023-11-14
CN113497152A (zh) 2021-10-12

Similar Documents

Publication Publication Date Title
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE102018122524A1 (de) Struktur und Verfahren zum Freilegen von Speicherzellen mit unterschiedlichen Größen
DE112012001220B4 (de) Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden
DE10104082C2 (de) Halbleiterspeichervorrichtung mit einer auf einer Oberfläche von Dotierstoffdiffusionszonen gebildeten Silizidschicht und deren Herstellungsverfahren
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102020128755A1 (de) Speicherarray-treppenstruktur
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE102019100488A1 (de) Rram-struktur
DE102021110748A1 (de) Transistor, der einen wasserstoffdiffusionsbarrierefilm aufweist undverfahren zu dessen ausbildung
DE102018110185A1 (de) Speichervorrichtung und Herstellung dergleichen
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102019104255B4 (de) Speicherstruktur mit FeRAM-Vorrichtung und Verfahren zu deren Herstellung sowie ein integrierter Chip mit einer ersten FeRAM-Zelle und einer zweiten FeRAM-Zelle
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102019219538A1 (de) Halbleiterbauelement und verfahren zum herstellen desselben
DE102021100353A1 (de) Dreidimensionale speichervorrichtung und deren herstellungsverfahren
DE102021110537A1 (de) Stapelbarer 3D-Speicher und Verfahren zum Herstellen
DE102020133183A1 (de) Back-end-of-line-selektor für speichervorrichtung
DE102021110533A1 (de) Oxidhalbleiter-Transistorstruktur in 3D-Vorrichtung und Verfahren zu deren Bildung
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür
DE102006053435A1 (de) Speicherzellenanordnungen und Verfahren zum Herstellen von Speicherzellenanordnungen
DE102021101243A1 (de) Speicherblock-kanalregionen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027085000

Ipc: H01L0027120000

R016 Response to examination communication