DE102020113776A1 - Depop unter verwendung zyklischer selektiver abstandhalter-ätzung - Google Patents

Depop unter verwendung zyklischer selektiver abstandhalter-ätzung Download PDF

Info

Publication number
DE102020113776A1
DE102020113776A1 DE102020113776.1A DE102020113776A DE102020113776A1 DE 102020113776 A1 DE102020113776 A1 DE 102020113776A1 DE 102020113776 A DE102020113776 A DE 102020113776A DE 102020113776 A1 DE102020113776 A1 DE 102020113776A1
Authority
DE
Germany
Prior art keywords
vertically stacked
stacked nanowires
integrated circuit
nanowires
nanowire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020113776.1A
Other languages
English (en)
Inventor
Leonard Guler
Vivek Thirtha
Shu Zhou
Nitesh Kumar
Biswajeet Guha
William Hsu
Dax CRUM
Oleg Golonzka
Tahir Ghani
Christopher Kenyon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020113776A1 publication Critical patent/DE102020113776A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Eine Integrierte-Schaltung-Struktur umfasst eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht. Eine Gate-Struktur befindet sich über einer Halbleiterfinne. Eine Mehrzahl von vertikal gestapelten Nanodrähten verläuft durch die Gate-Struktur, die Mehrzahl von vertikal gestapelten Nanodrähten umfassend einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne. Ein Dielektrikumsmaterial deckt nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten außerhalb der Gate-Struktur ab, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial freigelegt sind. Source- und Drain-Regionen befinden sich an gegenüberliegenden Seiten der Gate-Struktur, die mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten verbunden sind.

Description

  • TECHNISCHES GEBIET
  • Ausführungsbeispiele der Offenbarung befinden sich auf dem Gebiet von Integrierte-Schaltung-Strukturen und genauer gesagt der Verfahren zum Herstellen einer Nanodraht-basierten Integrierte-Schaltung-Struktur, die mit DEPOP (dry-etch-poly-open-polish; DEPOP) unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt wird.
  • HINTERGRUND
  • In den letzten Jahrzehnten war die Skalierung von Merkmalen bei integrierten Schaltungen eine Antriebskraft hinter einer ständig wachsenden Halbleiterindustrie. Das Skalieren auf immer kleinere Merkmale ermöglicht erhöhte Dichten von funktionalen Einheiten auf der begrenzten Grundfläche von Halbleiterchips. Zum Beispiel ermöglicht eine schrumpfende Transistorgröße die Einbringung einer erhöhten Anzahl von Speicher- oder Logik-Bauelementen auf einem Chip, was die Herstellung von Produkten mit erhöhter Kapazität ermöglicht. Das Streben nach immer höherer Kapazität ist jedoch nicht ohne Probleme. Die Notwendigkeit zur Optimierung der Performance jedes Bauelements wird immer wichtiger.
  • Bei der Herstellung von Integrierte-Schaltung-Bauelementen sind Multi-Gate-Transistoren, wie beispielsweise Trigate-Transistoren, immer mehr geworden, da Bauelement-Abmessungen immer geringer werden. Bei herkömmlichen Prozessen werden Trigate-Transistoren im Allgemeinen entweder auf Bulk-Silizium-Substraten oder Silizium-auf-Isolator-Substraten hergestellt. In einigen Fällen werden Bulk-Silizium-Substrate aufgrund ihrer niedrigeren Kosten und weil sie einen weniger komplizierten Trigate-Herstellungsprozess ermöglichen bevorzugt. Bei einem anderen Aspekt stellt die Aufrechterhaltung der Mobilitätsverbesserung und Kurzkanalsteuerung bei Abmessungen mikroelektronischer Bauelemente unterhalb des 10-Nanometer-(nm)-Knotens eine Herausforderung für die Herstellung von Bauelementen dar. Nanodrähte, die zur Herstellung von Bauelementen verwendet werden, stellen eine verbesserte Kurzkanalsteuerung bereit.
  • Die Skalierung von Multi-Gate- und Nanodraht-Transistoren war jedoch nicht ohne Folgen. Eine Variabilität herkömmlicher und bekannter Herstellungsprozesse auf dem Stand der Technik kann die Möglichkeit ihrer künftigen Weiterführung z. B. in den Sub-10-nm-Bereich beschränken. Folglich kann die Herstellung der funktionalen Komponenten, die für Knoten zukünftiger Technologie benötigt werden, die Einführung von neuen Methoden oder die Integration neuer Techniken in aktuelle Herstellungsprozesse oder anstelle von aktuellen Herstellungsprozessen erfordern.
  • Figurenliste
    • 1A stellt eine Querschnittsansicht einer Nanodraht-basierten Integrierte-Schaltung-Struktur, die mit DEPOP (Dry-etch-poly-open-polish) unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt wird, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung dar.
    • 1B stellt eine Draufsicht entnommen entlang der a-a'-Achse der Integrierte-Schaltung-Struktur aus 1A dar.
    • 2A stellt eine Finnen-Querschnittsdarstellung einer Nanodraht-basierenden Integrierte-Schaltung-Struktur senkrecht zu Dummy-Gate-Strukturen dar.
    • 2B-2F stellen eine Source-/Drain-Querschnittsansicht der Nanodraht-basierten Integrierte-Schaltung-Struktur entnommen entlang der b-b'-Achse der Schaltung-Struktur aus 2A dar.
    • 3A - 3E stellen eine Finnen-Querschnittsansicht einer auf vier Nanodrähten basierenden Integrierte-Schaltung-Struktur und eine Progression der zyklischen selektiven Abstandhalter-Ätzung der offenbarten Ausführungsbeispiele dar.
    • 4A-4H stellen gewinkelte dreidimensionale Querschnittansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen eines Nanodraht-Abschnitts einer Finne-/Nanodraht-Struktur gemäß einem Ausführungsbeispiel dar.
    • 5A und 5B sind Draufsichten eines Wafers und von Dies, die eine oder mehrere Integrierte-Schaltung-Strukturen umfassen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, gemäß einem oder mehreren hierin offenbarten Ausführungsbeispielen.
    • 6 stellt ein Blockdiagramm eines elektronischen Systems 600 gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung dar.
    • 7 ist eine Querschnitt-Seitenansicht einer Integrierte-Schaltung- (IC-; integrated circuit) Bauelementanordnung dar, die eine oder mehrere Integrierte-Schaltung-Strukturen umfassen kann, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, gemäß einem oder mehreren der hierin offenbarten Ausführungsbeispiele.
    • 8 stellt eine Rechenvorrichtung gemäß einer Implementierung der Offenbarung dar.
  • BESCHREIBUNG DER AUSFÜHRUNGSBEISPIELE
  • Die Herstellung von Integrierte-Schaltung-Strukturen mit DEPOP (Dry-Etch-Poly-open-polish) unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung wird beschrieben. In der folgenden Beschreibung werden zahlreiche spezifische Details ausgeführt, wie beispielsweise spezifische Material- und Werkzeug-Vorgaben, um ein tiefgreifendes Verständnis von Ausführungsbeispielen der vorliegenden Offenbarung bereitzustellen. Für einen Fachmann auf dem Gebiet ist es offensichtlich, dass Ausführungsbeispiele der vorliegenden Offenbarung ohne diese spezifischen Details ausgeführt werden können. In anderen Fällen werden bekannte Merkmale, wie etwa einfache oder duale Damascene-Verarbeitung, nicht detailliert beschrieben, um die Ausführungsbeispiele der vorliegenden Offenbarung nicht unnötig zu verunklaren. Weiterhin wird davon ausgegangen, dass die verschiedenen in den Figuren gezeigten Ausführungsbeispiele veranschaulichende Darstellungen sind, und nicht notwendigerweise maßstabsgetreu gezeichnet sind. In einigen Fällen werden verschiedene Operationen wiederum als mehrere diskrete Operationen beschrieben, in einer Weise, die für das Verständnis der vorliegenden Offenbarung am hilfreichsten ist, jedoch sollte die Reihenfolge der Beschreibung nicht so ausgelegt werden, dass sie impliziert, dass diese Operationen zwingend von der Reihenfolge abhängig sind. Insbesondere müssen diese Operationen nicht in der Reihenfolge der Präsentation ausgeführt werden.
  • Eine bestimmte Terminologie kann auch in der nachfolgenden Beschreibung ausschließlich zum Zweck der Referenz verwendet werden und soll nicht einschränkend sein. Zum Beispiel beziehen sich Ausdrücke wie „obere“, „untere“, „über“, „unter“, „unten“ und „oben“ auf Richtungen in den Zeichnungen, auf die Bezug genommen wird. Ausdrücke wie beispielsweise „vorne“, „hinten“, „Rück-“ und „Seiten-“ beschreiben die Ausrichtung und/oder die Position von Abschnitten der Komponente innerhalb eines konsistenten aber beliebigen Bezugsrahmens, der Bezug nehmend auf den Text und die zugeordneten Zeichnungen deutlich gemacht wird, die die erörterte Komponente beschreiben. Eine solche Terminologie kann die Wörter umfassen, die oben spezifisch erwähnt wurden, Ableitungen davon und Wörter ähnlicher Bedeutung.
  • Hierin beschriebene Ausführungsbeispiele können sich auf eine Front-End-of-Line (FEOL) Halbleiter-Verarbeitung und -Strukturen beziehen. FEOL ist der erste Abschnitt der Herstellung einer integrierten Schaltung (IC), wo die individuellen Bauelemente (z. B. Transistoren, Kondensatoren, Widerstände, etc.) in dem Halbleitersubstrat oder der -Schicht strukturiert werden. FEOL deckt im Allgemeinen alles ab bis zu (aber nicht einschließlich) der Abscheidung von Metall-Verbindungsschichten. Nach der letzten FEOL-Operation ist das Ergebnis üblicherweise ein Wafer mit isolierten Transistoren (z. B. ohne irgendwelche Drähte).
  • Hierin beschriebene Ausführungsbeispiele können sich auf eine Back-End-of-Line (BEOL; back end of line) Halbleiter-Verarbeitung und -Strukturen beziehen. BEOL ist der zweite Abschnitt einer IC-Herstellung, wo die individuellen Bauelemente (z. B. Transistoren, Kondensatoren, Widerstände, etc.) mit einer Verdrahtung auf dem Wafer zwischenverbunden werden, z. B. der Metallisierungsschicht oder -Schichten. BEOL umfasst Kontakte, Isolierschichten (Dielektrika), Metallebenen und Bond-Positionen für Chip-zu-Package-Verbindungen. Bei dem BEOL-Teil der Herstellungsstufe werden Kontakte (Anschlussflächen), (Zwischen-)Verbindungsdrähte (interconnect wires), Vias und Dielektrikumsstrukturen gebildet. Für moderne IC-Prozesse können bei dem BEOL mehr als 10 Metallschichten hinzugefügt werden.
  • Nachstehend beschriebene Ausführungsbeispiele können auf FEOL-Verarbeitung und - Strukturen, BEOL-Verarbeitung und -Strukturen oder sowohl FEOL- als auch BEOL-Verarbeitung und -Strukturen anwendbar sein. Genauer gesagt, obwohl ein beispielhaftes Verarbeitungsschema dargestellt sein kann, das ein FEOL-Verarbeitungsszenario verwendet, können solche Ansätze auch auf eine BEOL-Verarbeitung anwendbar sein. Gleichermaßen können, obwohl ein beispielhaftes Verarbeitungsschema dargestellt sein kann, das ein BEOL-Verarbeitungsszenario verwendet, solche Ansätze auch auf eine FEOL-Verarbeitung anwendbar sein.
  • Ein oder mehrere hierin beschriebene Ausführungsbeispiele richten sich auf Strukturen und Architekturen zum Herstellen von Integrierte-Schaltung-Strukturen mit einem DEPOP- (Dry-etch-poly-open-polish) Prozess unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung. Ausführungsbeispiele können einen oder mehrere aus nicht-planaren Transistoren, Nanodraht-/Nanoband-basierten Transistoren und System-auf-Chip- (SoC-; system on chip) Technologien umfassen oder sich auf diese beziehen. Ein oder mehrere Ausführungsbeispiele können implementiert sein, um anpassbare nicht-planare Hoch-Performance-Transistoren in SoCs zukünftiger Technologieknoten zu realisieren.
  • Um den Kontext bereitzustellen, besteht ein erhöhter Bedarf hochentwickelter SoCs, um sowohl Logik als auch I/O-ICs zu umfassen und den Betrag des Antriebs zu steuern, den jeder einzelne Transistor aufweist. Üblicherweise ist es nicht möglich, den Antrieb einzelner nicht-planarer Transistoren einfach zu steuern.
  • Gemäß einem oder mehreren Ausführungsbeispielen, die hierin beschrieben sind, werden nicht-planare Transistoren, die mehrere Nanodrähte/Nanobänder umfassen, durch die Herstellung von Integrierte-Schaltung-Strukturen mit einem DEPOP- (Dry-Etch-Poly-open-Polish) Prozess unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt, um nur die Nanodrähte/Nanobänder freizulegen, die verbunden werden sollen. Während der Gate-Verarbeitung werden alle Nanodrähte/Nanobänder freigegeben, unabhängig davon, wie viele der Nanodrähte/Nanobänder durch die Source-/Drain-Region verbunden werden sollen. Gemäß den offenbarten Ausführungsbeispielen kann durch Steuern der Anzahl von Nanodrähten/Nanobändern, die in jedem Transistor verbunden sind, der Betrag des Antriebs, den jeder Transistor aufweist, nach Wunsch zugeschnitten werden. Zusätzlich können Transistoren, die unter Verwendung einer solchen Architektur hergestellt werden, eine Erhöhung der Stabilität und Performance aufweisen. Anwendungen solcher Systeme können Logik-, I/O- oder analoge Anwendungen umfassen, sind aber nicht darauf beschränkt. Hierin beschriebene Ausführungsbeispiele können einzigartige Architekturen umfassen, umfassend eine Mehrzahl von nicht-planaren Nanodraht-/Nanoband-Strukturen (z. B. vertikale Nanodraht-FETs (v-NWFETs; vertical nanowire FETs)), die variierende Anzahlen von freiliegenden und verbundenen Nanodrähten/Nanobändern aufweisen.
  • 1A stellt eine Querschnittsansicht einer Nanodraht-basierten Integrierte-Schaltung-Struktur, die mit DEPOP (Dry-etch-poly-open-polish) unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt wird, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung dar. 1B stellt eine Draufsicht entnommen entlang der a-a'-Achse der Integrierte-Schaltung-Struktur aus 1A dar.
  • Bezug nehmend auf 1A umfasst eine Halbleiterstruktur 100 eine Mehrzahl von nicht-planaren aktiven Regionen 170A, 170B, 170C, 170D (zusammen als nicht-planare aktive Regionen 170 bezeichnet) über einem Substrat 102. Bei einem Ausführungsbeispiel umfasst jede der nicht-planaren aktiven Regionen 170 eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht, und eine Gate-Struktur über der Halbleiterfinne. Somit können die Finnen einen hervorstehenden Finnenabschnitt 104 und eine Teilfinnenregion 105 aufweisen, gebildet aus dem Substrat 102 innerhalb einer Grabenisolationsschicht 106. Bei einem Ausführungsbeispiel sind die Finnenstrukturen eine Mehrzahl von Finnenleitungen, die eine Gitterstruktur bilden, wie beispielsweise eine Gitterstruktur mit engem Abstand. Bei einem solchen Ausführungsbeispiel wird der enge Abstand nicht direkt durch herkömmliche Lithographie erreicht, aber der Abstand kann durch die Verwendung von Abstandhalter-Masken-Strukturierung, Abstandhalbierung, Abstandviertelung oder anderer Abstandteilung halbiert werden. Jede der dargestellten individuellen Finnen kann entsprechende individuelle Finnen darstellen oder kann eine Mehrzahl von Finnen an einer gegebenen Position darstellen.
  • Eine Mehrzahl von vertikal gestapelten Nanodrähten 107, die über der Halbleiterfinne liegen, werden durch eine Gate-Struktur 108 (in/aus der Seite) gebildet. Bei einem Ausführungsbeispiel umfasst die Mehrzahl von vertikal gestapelten Nanodrähten 107 einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur 108 und einen unteren Nanodraht benachbart zu einer Oberseite des hervorstehenden Finnenabschnitts 104. Dementsprechend umfassen die nicht-planaren aktiven Regionen zwei oder mehr Nanodrähte. Bei dem spezifischen Beispiel aus 1A sind die nicht-planaren aktiven Regionen mit vier Nanodrähten gezeigt.
  • Bei einem Ausführungsbeispiel können die Halbleiterfinne und entsprechende vertikal gestapelte Nanodrähte 107 als ein Paar aus Halbleiterfinne/Nanodraht bezeichnet werden. Obwohl der Begriff „Nanodraht“ verwendet werden kann, soll sich der Begriff sowohl auf Draht- als auch auf Bandstrukturen beziehen, die rechtwinklige oder rundere Ecken aufweisen können. Es wird ebenfalls darauf hingewiesen, dass sich die Bezugnahme auf ein oder die Darstellung von einem Paar aus Finne/Nanodraht durchweg auf eine Struktur beziehen kann, die eine Finne und einen oder mehrere darüberliegende Nanodrähte umfasst. Die Nanodrähte können als Drähte oder Bänder bemessen sein, wie unten beschrieben, und können abgerundete oder rundere Ecken aufweisen. Bei einem Ausführungsbeispiel weisen die Nanodrähte jedoch eine breite und flache Querschnittsform auf. Bei einem Ausführungsbeispiel umfassen die Nanodrähte ein Material, wie beispielsweise, aber nicht beschränkt auf Silizium, Germanium oder sowohl Silizium als auch Germanium. Bei einem solchen Ausführungsbeispiel sind die Nanodrähte einkristallin. Beispielsweise kann für einen Silizium-Nanodraht ein einkristalliner Nanodraht auf einer (100) globalen Ausrichtung basieren, z. B. mit einer <100> Ebene in z-Richtung. Wie nachfolgend beschrieben wird, können auch andere Orientierungen berücksichtigt werden. Bei einem Ausführungsbeispiel umfassen die Nanodrähte ein gespanntes Material, insbesondere in den Kanalregionen.
  • Bei einem Ausführungsbeispiel sind die Abmessungen der Nanodrähte aus einer Querschnittsperspektive im Nanobereich. Zum Beispiel ist bei einem spezifischen Ausführungsbeispiel die kleinste Abmessung der Nanodrähte geringer als etwa 20 nm oder die Breite kann bei einem spezifischen Ausführungsbeispiel in einem Bereich von 8-10 nm liegen. Bei einem Ausführungsbeispiel kann die Länge durch das Gate der Nanodrähte in einem Bereich von 8-30 nm liegen.
  • Gate-Strukturen 108 befinden sich über den hervorstehenden Abschnitten 104 der nicht-planaren aktiven Regionen 170 und um die darüberliegenden vertikal gestapelten Nanodrähte 107 herum, sodass die vertikal gestapelten Nanodrähte 107 durch die entsprechenden Gate-Strukturen 108 verlaufen. Die Gate-Strukturen 108 befinden sich auch über einem Abschnitt der Grabenisolationsschicht 106. Wie gezeigt ist, umfassen Gate-Strukturen 108 eine Gate-Elektrode 150 und eine Gate-Dielektrikum-Schicht 152. Bei einem Ausführungsbeispiel, obgleich nicht gezeigt, können die Gate-Strukturen 108 auch eine dielektrische Abdeckungsschicht umfassen. Die Gate-Strukturen 108 können durch Isolationsregionen getrennt sein, wie beispielsweise schmale selbstausgerichtete Gate Endabdeckung- (SAGE; self-aligned gate endcap) Isolationsstrukturen oder die Wände 120, 121A und 121B gleicher oder unterschiedlicher Breite. Jede SAGE-Wand 120, 121A und 121B kann einen oder mehrere einer lokalen Zwischenverbindung 154 oder eines darauf gebildeten dielektrischen Plugs 199 umfassen. Bei einem Ausführungsbeispiel ist jede der SAGE-Wände 120, 121A oder 121B unter einer obersten Oberfläche 197 der Grabenisolationsschicht 106 ausgespart.
  • Gemäß den offenbarten Ausführungsbeispielen deckt ein Dielektrikumsmaterial 111 möglicherweise nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten 107 außerhalb der Gate-Struktur 108 in den nicht-planaren aktiven Regionen 170 ab, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten 107, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial 111 freigelegt werden. Source- und Drain-Regionen 104A und 104B (in 1B gezeigt) befinden sich über dem Dielektrikumsmaterial 111 auf gegenüberliegenden Seiten der Gate-Strukturen 108 und sind nur mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten 107 in den nicht-planaren aktiven Regionen 170 verbunden. Dementsprechend weisen zumindest einige der nicht-planaren aktiven Regionen 170 variierende Anzahlen von freiliegenden Nanodrähten auf, die mit den jeweiligen Source- und Drain-Regionen 104A und 104B verbunden sind, um die Menge des Antriebsstroms für die entsprechende nicht-planare aktive Region 170 zu steuern, wobei die höhere Anzahl der freiliegenden Nanodrähte zu einer höheren Menge an Antriebsstrom führt.
  • Zum Beispiel kann die nicht-planare aktive Region 170A eine erste Mehrzahl von Paaren aus Halbleiterfinne/Nanodraht (Paar(e) aus Finne/Nanodraht 104/107) über dem Substrat 102, die durch eine oberste Oberfläche 197 der Grabenisolationsschicht 106 hervorstehen, eine erste Gate-Struktur (Gate-Struktur 108 der nicht-planaren aktiven Region 170A) über der ersten Mehrzahl von Paaren aus Halbleiterfinne/Nanodraht und drei der Mehrzahl von Nanodrähten, die von dem Dielektrikumsmaterial 111 freigelegt und mit den Source- und Drain-Regionen 104A und 104B verbunden sind, umfassen.
  • Die nicht-planare aktive Region 170B umfasst eine zweite Mehrzahl von Paaren aus Halbleiterfinne/Nanodraht (Paar(e) aus Finne/Nanodraht 104/107) über dem Substrat 102, die durch die oberste Oberfläche 197 der Grabenisolationsschicht 106 hervorstehen, eine zweite Gate-Struktur (Gate-Struktur 108) über der zweiten Mehrzahl von Paaren aus Halbleiterfinne/Nanodraht und zwei Nanodrähte, die von dem Dielektrikumsmaterial 111 freigelegt und mit den Source- und Drain-Regionen 104A und 104B verbunden sind. Die nicht-planare aktive Region 170C ist ähnlich zu der nicht-planaren aktiven Region 170B, wird aber mit nur dem einzelnen oberen Nanodraht gezeigt, der von dem Dielektrikumsmaterial 111 freigelegt ist und mit den Source- und Drain-Regionen 104A und 104B verbunden ist.
  • Bei einem Ausführungsbeispiel kann die aktive Region 170A eine I/O-Region sein und die aktive Region 170B kann eine Logikregion sein. Wie dargestellt ist, ist bei einem solchen Ausführungsbeispiel eine zweite Logikregion 170C benachbart zu der Logikregion 170B und ist durch eine lokale Zwischenverbindung 154 elektrisch mit der Logikregion 170B verbunden. Eine andere Region 170D kann ein Ort sein, an dem eine Additionslogik oder eine I/O-Region platziert sein können. Eine Isolationsstruktur, wie beispielsweise die SAGE-Wand 120, kann sich zwischen und in Kontakt mit benachbarten Gate-Strukturen 108 befinden.
  • Ein Gate-Kontakt 114 und ein darüberliegendes Gate-Kontakt-Via 116 sind aus dieser Perspektive von 1A ebenfalls sichtbar, zusammen mit einer darüberliegenden Metall-Zwischenverbindung 160, die sich alle in Zwischenschicht-Dielektrikums-Stapeln oder -Schichten 169 befinden. Es wird auch gezeigt, dass sich bei einem Ausführungsbeispiel der Gate-Kontakt 114 über den nicht-planaren aktiven Regionen 170 befindet. Wie auch in 1A dargestellt ist, existiert eine Schnittstelle 180 zwischen einem Dotierungsprofil von hervorstehenden Finnenabschnitten 104 und Teil-Finnenregionen 105, obwohl andere Ausführungsbeispiele keine solche Schnittstelle in dem Dotierungsprofil zwischen diesen Regionen umfassen.
  • Bezug nehmend auf 1A und 1B sind die Gate-Strukturen 108 derart gezeigt, dass sie sich über den hervorstehenden Finnenabschnitten 104 befinden, und entsprechende vertikal gestapelte Nanodrähte 107 derart, dass sie durch Gate-Isolationsstrukturen 120 isoliert sind. Bei einem Ausführungsbeispiel bilden die Gate-Strukturen 108 eine Leitung aus einer Mehrzahl von parallelen Gate-Leitungen, die eine Gitterstruktur bilden, wie beispielsweise eine Gitterstruktur mit engem Abstand. Bei einem solchen Ausführungsbeispiel ist der enge Abstand durch herkömmliche Lithographie nicht direkt zu erreichen. Zum Beispiel kann zuerst eine Struktur auf Basis herkömmlicher Lithographie gebildet werden, aber der Abstand kann mittels Abstandhalter-Masken-Strukturierung halbiert werden, wie dies in der Technik bekannt ist. Weiter kann der Originalabstand durch eine zweite Runde einer Abstandhalter-Masken-Strukturierung geviertelt werden. Dementsprechend können die gitterartigen Gate-Strukturen Leitungen aufweisen, die mit einem konstanten Abstand beabstandet sind und die eine konstante Breite aufweisen. Die Struktur kann durch einen Abstands-Halbierungs- oder Abstands-Viertelungs- oder einen anderen Abstandsteilungs-Ansatz hergestellt werden.
  • Bezug nehmend wiederum auf 1B sind Source- und Drain-Regionen 104A und 104B der hervorstehenden Finnenabschnitte 104 und ein oder mehrere entsprechende Nanodrähte gezeigt, obwohl darauf hingewiesen wird, dass diese Regionen mit Graben-Kontaktstrukturen überlappt wären. Bei einem Ausführungsbeispiel sind die Source- und Drain-Regionen 104A und 104B dotierte Abschnitte von Originalmaterial der hervorstehenden Finnen-/Nanodraht-Abschnitte 104/107. Bei einem anderen Ausführungsbeispiel wird das Material der hervorstehenden Finnen-/Nanodraht-Abschnitte 104/107 entfernt und durch ein anderes Halbleitermaterial ersetzt, z. B. durch epitaktische Abscheidung. In jedem Fall können sich die Source- und Drain-Regionen 104A und 104B unter der Höhe der Grabenisolationsschicht 106 erstrecken, d. h. in die TeilFinnenregion 105.
  • Bei einem Ausführungsbeispiel umfasst die Halbleiterstruktur 100 nicht-planare Bauelemente wie beispielsweise, aber nicht beschränkt auf, ein Fin-FET- oder ein Trigate-Bauelement mit entsprechenden ein oder mehreren darüberliegenden Nanodrahtstrukturen. Bei einem solchen Ausführungsbeispiel umfasst eine entsprechende Halbleiterkanalregion einen dreidimensionalen Körper oder ist in diesem gebildet, wobei ein oder mehrere diskrete Nanodraht-Kanalabschnitte über dem dreidimensionalen Körper liegen. Bei einem solchen Ausführungsbeispiel umgeben die Gate-Strukturen 108 zumindest eine obere Oberfläche und ein Paar von Seitenwänden des dreidimensionalen Körpers und umgeben ferner jeden der einen oder mehreren diskreten N anodrahtkanalabschnitte.
  • Das Substrat 102 kann ein Halbleitermaterial umfassen, das einem Herstellungsprozess standhalten kann und in dem Ladung migrieren kann. Bei einem Ausführungsbeispiel ist das Substrat 102 ein Bulk-Substrat, umfassend eine kristalline Silizium-, Silizium/Germanium- oder Germanium-Schicht dotiert mit einem Ladungsträger, wie beispielsweise aber nicht beschränkt auf Phosphor, Arsen, Bor oder eine Kombination derselben, um die aktive Region 104 zu bilden. Bei einem Ausführungsbeispiel ist die Konzentration von Silizium-Atomen in dem Bulk-Substrat 102 größer als 97%. Bei einem anderen Ausführungsbeispiel umfasst das Bulk-Substrat 102 eine epitaktische Schicht, gewachsen auf einem einzelnen kristallinen Substrat, z. B. einer epitaktischen Siliziumschicht, die auf einem Bor-dotierten monokristallinen Bulk-SiliziumSubstrat gewachsen ist. Das Bulk-Substrat 102 kann alternativ ein Material der Gruppe III-V umfassen. Bei einem Ausführungsbeispiel umfasst das Bulk-Substrat 102 ein III-V Material, wie beispielsweise aber nicht beschränkt auf Galliumnitrid, Galliumphosphid, Galliumarsenid, Indiumphosphid, Indiumantimonid, Indiumgalliumarsenid, Aluminiumgalliumarsenid, Indiumgalliumphosphid oder eine Kombination derselben. Bei einem Ausführungsbeispiel umfasst das Bulk-Substrat 102 ein III-V Material und die Ladungsträger-Dotierstoff-Verunreinigungsatome sind solche wie beispielsweise aber nicht beschränkt auf Kohlenstoff, Silizium, Germanium, Sauerstoff, Schwefel, Selen oder Tellur.
  • Die Grabenisolationsschicht 106 kann ein Material umfassen, das geeignet ist zum schließlichen elektrischen Isolieren, oder zum Beitragen zur Isolation von Abschnitten einer permanenten Gate-Struktur von einem darunter liegenden Bulk-Substrat oder Isolieren aktiver Regionen, die innerhalb eines darunter liegenden Bulk-Substrats gebildet sind, wie beispielsweise Isolieren aktiver Finnenregionen. Zum Beispiel umfasst bei einem Ausführungsbeispiel die Grabenisolationsschicht 106 ein Dielektrikumsmaterial, wie beispielsweise aber nicht beschränkt auf Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder Kohlenstoff-dotiertes Siliziumnitrid.
  • Selbstausgerichtete Gate-Endabdeckungs-Isolationsstrukturen 120, 121A und 121B können ein Material oder Materialien umfassen, die geeignet sind zum schließlichen elektrischen Isolieren, oder zum Beitragen zur Isolation von Abschnitten von permanenten Gate-Strukturen von einander. Beispielhafte Materialien oder Materialkombinationen umfassen eine einzelne Materialstruktur wie beispielsweise Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder Kohlenstoff-dotiertes Siliziumnitrid. Andere beispielhafte Materialien oder Materialkombinationen umfassen einen Mehrschichtstapel, der einen unteren Abschnitt Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder Kohlenstoff-dotiertes Siliziumnitrid und einen oberen Abschnitt aus Material mit höherer Dielektrizitätskonstante, wie beispielsweise Hafniumoxid, aufweist.
  • Gate-Strukturen 108 können einen Gate-Elektrodenstapel umfassen, der eine Gate-Dielektrikum-Schicht 152 und eine Gate-Elektroden-Schicht 150 umfasst. Bei einem Ausführungsbeispiel umfasst die Gate-Elektrode des Gate-Elektrodenstapels ein Metall-Gate und die Gate-Dielektrikum-Schicht umfasst ein High-k-Material. Bei einem beispielhaften Ausführungsbeispiel kann die Gate-Struktur 108 ein Gate-Dielektrikum 152 umfassen, das mit der ersten Mehrzahl von Paaren aus Halbleiterfinne/Nanodraht konform ist und lateral benachbart zu und in Kontakt mit einer ersten Seite der Gate-Endabdeckungs-Isolationsstruktur (links 120) ist. Bei einem Ausführungsbeispiel kann das Gate-Dielektrikum zwei oder mehr Dielektrikumsschichten umfassen (z. B. Schichten 152A und 152B). Bei einem anderen Ausführungsbeispiel umfasst das Gate-Dielektrikum 152 eine einzelne Schicht 152A. Bei einem Ausführungsbeispiel ist das Gate-Dielektrikum von Region 170A ein I/O-Gate-Dielektrikum und das Gate-Dielektrikum von Region 170B ist ein Logik-Gate-Dielektrikum.
  • Bei einem Ausführungsbeispiel kann das Gate-Dielektrikum von Region 170B ein Material, wie beispielsweise aber nicht beschränkt auf Hafniumoxid, Hafniumoxynitrid, Hafniumsilikat, Lanthanoxid, Zirkoniumoxid, Zirkoniumsilikat, Tantaloxid, Barium-Strontium-Titanat, Barium-Titanat, Strontium-Titanat, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid, Blei-Zink-Niobat oder eine Kombination derselben umfassen. Ferner kann ein Abschnitt der Gate-Dielektrikum-Schicht eine Schicht aus nativem Oxid umfassen, gebildet aus den oberen paar Schichten des Substrats 102. Bei einem Ausführungsbeispiel umfasst die Gate-Dielektrikum-Schicht einen oberen High-k-Abschnitt und einen unteren Abschnitt, umfassend ein Oxid eines Halbleitermaterials. Bei einem Ausführungsbeispiel umfasst die Gate-Dielektrikum-Schicht einen oberen Abschnitt aus Hafniumoxid und einen unteren Abschnitt aus Siliziumdioxid oder Siliziumoxinitrid. Bei einem Ausführungsbeispiel umfasst der obere High-k-Abschnitt eine „U“-förmige Struktur, umfassend einen unteren Abschnitt im Wesentlichen parallel zu der Oberfläche des Substrats und zwei Seitenwandabschnitte, die im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats sind. Bei einem Ausführungsbeispiel kann das Gate-Dielektrikum von Region 170A eine Schicht aus nicht-nativem Siliziumoxid zusätzlich zu einer Schicht aus High-K-Material umfassen. Die Schicht aus nicht-nativem Siliziumoxid kann unter Verwendung eines CVD-Prozesses gebildet werden und kann unter oder über der Schicht aus High-K-Material gebildet werden. Bei einem exemplarischen Ausführungsbeispiel wird die Schicht aus nicht-nativem Siliziumoxid (z. B. Schicht 152A) unter einer Schicht aus High-K-Material (z. B. Schicht 152B) gebildet.
  • Bei einem Ausführungsbeispiel umfasst die Gate-Elektrode eine Metallschicht, wie beispielsweise aber nicht beschränkt auf Metallnitride, Metallcarbide, Metallsilizide, Metallaluminide, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Ruthenium, Palladium, Platin, Kobalt, Nickel oder leitfähige Metalloxide. Bei einem spezifischen Ausführungsbeispiel umfasst die Gate-Elektrode ein Nicht-Arbeitsfunktions-Einstellungs-Füllmaterial, gebildet über einer Metall-Arbeitsfunktions-Einstellungs-Schicht. Bei einigen Implementierungen kann die Gate-Elektrode aus einer „U“-förmigen Struktur bestehen, umfassend einen unteren Abschnitt im Wesentlichen parallel zu der Oberfläche des Substrats und zwei Seitenwandabschnitte, die im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats sind. Bei einer anderen Implementierung kann zumindest eine der Metallschichten, die die Gate-Elektrode bilden, einfach eine planare Schicht sein, die im Wesentlichen parallel zu der oberen Oberfläche des Substrats ist und keine Seitenwandabschnitte im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats umfasst. Bei weiteren Implementierungen der Offenbarung kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und planaren, nicht U-förmigen Strukturen bestehen. Zum Beispiel kann die Gate-Elektrode aus einer oder mehreren U-förmigen Metallschichten bestehen, die auf einer oder mehreren planaren, nicht U-förmigen Schichten gebildet sind.
  • Abstandhalter, die den Gate-Elektrodenstapeln zugeordnet sind, können ein Material umfassen, das geeignet ist zum schließlich elektrischen Isolieren, oder zum Beitragen zur Isolation, von einer permanenten Gate-Struktur von benachbarten leitfähigen Kontakten, wie beispielsweise selbstausgerichteten Kontakten. Zum Beispiel umfassen bei einem Ausführungsbeispiel die Abstandhalter ein Dielektrikumsmaterial, wie beispielsweise aber nicht beschränkt auf Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder Kohlenstoff-dotiertes Siliziumnitrid.
  • Die lokale Zwischenverbindung 154, der Gate-Kontakt 114, das darüberliegende Gate-Kontakt-Via 116 und die darüberliegende Metall-Zwischenverbindung 160 können ein leitfähiges Material umfassen. Bei einem Ausführungsbeispiel umfassen ein oder mehrere der Kontakte oder Vias eine Metallspezies. Die Metallspezies kann ein reines Metall, wie beispielsweise Wolfram, Nickel oder Kobalt, sein oder können eine Legierung sein, wie beispielsweise eine Metall-Metall-Legierung oder eine Metall-Halbleiter-Legierung (z. B. ein Silizidmaterial). Ein übliches Beispiel ist die Verwendung von Kupferstrukturen, die Barriereschichten (wie beispielsweise Ta- oder TaN-Schichten) zwischen dem Kupfer und dem umliegenden ILD-Material umfassen können oder nicht. Nach hiesigem Gebrauch umfasst der Ausdruck Metall Legierungen, Stapel und andere Kombinationen aus mehreren Metallen. Zum Beispiel können die Metall-Zwischenverbindungs-Leitungen Barriereschichten, Stapel aus unterschiedlichen Metallen oder Legierungen, etc., umfassen.
  • Im Allgemeinen kann der Prozess zur Herstellung der Nanodraht-basierten Integrierte-Schaltung-Struktur ein Bilden einer Halbleiterfinne umfassen, die durch eine Grabenisolationsregion über einem Substrat hervorsteht. Eine Mehrzahl von vertikal gestapelten Nanodrähten und eine Opfer-Gate-Struktur wird um die Halbleiterfinne herum gebildet, wobei die Mehrzahl von vertikal gestapelten Nanodrähten durch die Opfer-Gate-Struktur gebildet wird und einen oberen Nanodraht benachbart zu einer Oberseite der Opfer-Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne umfasst. Ein Abstandhalter, umfassend ein Dielektrikumsmaterial, wird über der Mehrzahl von vertikal gestapelten Nanodrähten und der Opfer-Gate-Struktur gebildet. Der Abstandhalter wird von einem oder mehreren der vertikal gestapelten Nanodrähte auf gegenüberliegenden Seiten des Gates unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung entfernt, beginnend mit dem oberen Nanodraht. Source- und Drain-Regionen werden an den gegenüberliegenden Seiten der Opfer-Gate-Struktur gebildet, um nur mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten zu verbinden.
  • Ausführungsbeispiele der zyklischen selektiven Abstandhalter-Ätzung werden im Folgenden Bezug nehmend auf die 2A-2F, 3A-E und 4A-4H beschrieben.
  • 2A zeigt eine Finnen-Querschnittsansicht einer Nanodraht-basierten Integrierte-Schaltung-Struktur senkrecht zu Dummy-Gate-Strukturen. 2C-2F stellen eine Source-/Drain-Querschnittsansicht der Nanodraht-basierten Integrierte-Schaltung-Struktur entnommen entlang der b-b'-Achse der Schaltung-Struktur aus 2A dar. Bezug nehmend auf die 2A und 2B sind Dummy-Gate-Strukturen 210 gezeigt, die über den Finnen 204 und einer Mehrzahl von Nanodrähten 207 gebildet sind. Die Dummy-Gate-Strukturen 210 und die Nanodrähte 207 sind von einem Abstandhalter-Material 211 (z. B. einem Dielektrikum) umgeben.
  • Bezug nehmend auf die 2C-2F wird ein Verarbeiten der Nanodraht-basierten Integrierte-Schaltung-Struktur gezeigt, um aufeinanderfolgend eine gewünschte Anzahl von Nanodrähten durch einen DEPOP- (Dry-etch-poly-open-polish) Prozess mit einer zyklischen selektiven Abstandhalter-Ätzung der offenbarten Ausführungsbeispiele freizulegen. Die Anzahl der ausgeführten selektiven Abstandhalter-Ätzzyklen ist gleich der Anzahl der freizulegenden Nanodrähte. Bei diesem bestimmten Beispiel umfasst die Nanodraht-basierte Integrierte-Schaltung-Struktur einen Stapel von drei Silizium-Nanodrähten 207, und in diesem Fall durchläuft eine selektive Abstandhalter-Ätzung dreimal (3x) einen Zyklus, um aufeinanderfolgend alle drei Nanodrähte 207 freizulegen.
  • 2C und 2D zeigen einen ersten Zyklus der selektiven Abstandhalter-Ätzung, der die Oberseite des Abstandhalter-Materials 211 entfernt und den oberen Nanodraht 207A schneidet. Gemäß einem Aspekt der offenbarten Ausführungsbeispiele umfasst jeder Zyklus der selektiven Abstandhalter-Ätzung zwei separate Ätzungen. 2C zeigt, dass die erste Ätzung in einem Zyklus ausgebildet ist, das Abstandhalter-Material 211 selektiv zu einem der Nanodrähte zu ätzen (z. B. dem oberen Silizium-Nanodraht 207A in diesem Beispiel). 2D zeigt, dass die zweite Ätzung in einem Zyklus ausgebildet ist, den Nanodraht 207A durch selektives Ätzen des Siliziums selektiv zu dem Abstandhalter-Material 211 zu schneiden.
  • 2E zeigt den zweiten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 211 über dem mittleren Nanodraht 207B entfernt und dann den mittleren Nanodraht 207B schneidet. 2F zeigt den dritten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 211 über dem unteren Nanodraht 207C entfernt und dann den unteren Nanodraht 207C schneidet. Nach der zyklischen selektiven Abstandhalter-Ätzung werden Source- und Drain-Regionen entlang der Seiten der Gates, wo die Silizium-Nanodrähte freigelegt sind, epitaktisch gewachsen, was die freiliegenden Nanodrähte mit den Source/Drains verbindet.
  • Als weiteres Beispiel stellen die 3A - 3E Finnen-Querschnittsansichten einer auf vier Nanodrähten basierenden Integrierte-Schaltung-Struktur und eine Progression der zyklischen selektiven Abstandhalter-Ätzung der offenbarten Ausführungsbeispiele dar. 3A zeigt zwei benachbarte nicht-planare aktive Regionen 370A und 370B der Nanodraht-basierten Integrierte-Schaltung-Struktur. Die nicht-planaren aktiven Regionen 370A und 370B umfassen eine Dummy-Gate-Struktur 310 über einer Halbleiterfinne 304 und einen Stapel von vier Nanodrähten 307 dazwischen. Bei einem Ausführungsbeispiel kann eine Hartmaske 309 über den oberen Silizium-Nanodrähten 307 vorhanden sein. Die beiden nicht-planaren aktiven Regionen 370A und 370B sind durch ein Abstandhalter-Material 311 abgedeckt, und es ist eine Isolationsstruktur 320 gezeigt, die die beiden Dummy-Gate-/Nanodraht-Stapel trennt. Die Nanodrähte, die sich zwischen den nicht-planaren aktiven Regionen 370A und 370B erstrecken, wurden in diesem Beispiel bereits geschnitten und getrennt.
  • 3B-3E zeigen, dass bei diesem Beispiel eine selektive Abstandhalter-Ätzung mit 4x Zyklen verwendet wird, um aufeinanderfolgend alle vier Nanodrähte 207 in jedem Stapel freizulegen. Bei anderen Ausführungsbeispielen könnte der selektive Abstandhalter in weniger Zyklen ausgeführt werden und eine andere Anzahl von Zyklen kann auf verschiedenen Nanodraht-Stapeln der IC ausgeführt werden.
  • 3B zeigt den ersten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 311 (und die Isolationsstruktur 320) von dem ersten oder oberen Nanodraht in den nicht-planaren aktiven Regionen 370A und 370B entfernt. 3C zeigt den zweiten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 311 von dem zweiten Nanodraht in den nicht-planaren aktiven Regionen 370A und 370B entfernt. 3D zeigt den dritten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 311 von dem dritten Nanodraht in den nicht-planaren aktiven Regionen 370A und 370B entfernt. 3E zeigt den vierten Zyklus der selektiven Abstandhalter-Ätzung, der das Abstandhalter-Material 311 von dem vierten oder unteren Nanodraht in den nicht-planaren aktiven Regionen 370A und 370B entfernt.
  • 4A-4H stellen gewinkelte dreidimensionale Querschnittansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen eines Nanodraht-Abschnitts einer Finne-/Nanodraht-Struktur gemäß einem Ausführungsbeispiel dar. Teil (a) der Figuren ist eine Finnen-Querschnittsansicht, während Teil (b) eine Source-/Drain-Querschnittsansicht ist.
  • 4A stellt dar, dass der Herstellungsprozess mit einem vertikalen Stapel von Nanodrähten, der über einer Finne gebildet wird, und einem Dummy-Gate, das über den Nanodrähten und der Finne gebildet wird, beginnt. Genauer weist ein Substrat 402 (z. B. umfassend eine isolierende Siliziumdioxidschicht 402B über einem Bulk-Substrat Siliziumsubstrat 402A) einen wechselnden Stapel von Siliziumschichten 407/Silizium-Germanium-Schichten 408 darauf über der Finne auf, um drei Silizium-Nanodrähte zu bilden. Eine Nitrid-Hartmaske 426 kann über dem Siliziumschicht- 407/Silizium-Germanium-Schicht- 408 Stapel vorhanden sein, der bei einigen Ausführungsbeispielen in dem finalen Bauelement entfernt werden kann. Ein Polysilizium-Opfer-Gate 450 wird um die Siliziumschichten 407/Silizium-Germanium-Schichten 408 herum mit einem Gate-Oxidmaterial 452 dazwischen gebildet. Bei Ausführungsbeispielen werden das Polysilizium-Opfer-Gate 450 und das Gate-Oxid 452 schließlich ersetzt. Ein Gate-Abstandhalter 470 kann die Oberseite des Polysilizium-Opfer-Gates 450 schützen. Bei Ausführungsbeispielen kann die Silizium-Germanium-Schicht 408 relativ zu den Silizium-Schichten 407 etwa auf Gate-Breite vertieft sein. Es wird darauf hingewiesen, dass bei einem anderen Ausführungsbeispiel ein Silizium-Germanium-Schicht-/Siliziumschicht-Stapel verwendet werden kann, um vier Silizium-Germanium-Nanodrähte zu bilden.
  • Es wird darauf hingewiesen, dass in nachfolgenden Herstellungsprozessschritten das Polysilizium-Opfer-Gate 450 und die Silizium-Germanium-Schicht 408 während eines Gate-Austausch-Prozesses durch ein Gate-Metall ersetzt werden können. Das Gate-Oxid 452 kann durch ein anderes Dielektrikum ersetzt werden, wie beispielsweise High-k oder Hafniumoxid, obwohl auch andere Dielektrika verwendet werden könnten.
  • 4B stellt dar, dass nachfolgend auf die Strukturierung zum Bilden des Opfer-Gates 450 ein Vertiefungs-Abstandhalter 428 auf den Seitenwänden des Opfer-Gates 450 und einer oberen Oberfläche der Siliziumdioxidschicht 402B gebildet/abgeschieden werden kann. 4C stellt den Prozess dar, nachdem eine Helm-Hartmaske 430 auf der oberen Oberfläche des Vertiefungs-Abstandhalters 428 gebildet wird, um den Vertiefungs-Abstandhalter 428 vor der nachfolgenden Abstandhalter-Ätzung zu schützen. Bei einem Ausführungsbeispiel kann die Helm-Hartmaske 430 Titannitrid umfassen. 4D stellt den Prozess dar, nachdem eine Kohlenstoff-Hartmaske (CHM; carbon hardmask) 432 oder ein Abstandhalter über dem Opfer-Gate 450 und der Siliziumdioxidschicht 402B gebildet wird (z. B. Deckschicht-abgeschieden (blanket deposited)).
  • 4E stellt den Prozess dar, nachdem die CHM 432 und der Vertiefungs-Abstandhalter 428 bis zu einer gewünschten Höhe herunter geätzt werden, um Enden der Silizium-Nanodrähte freizulegen. Bei dem gezeigten Beispiel wurde die CHM 432 geätzt, um alle drei Silizium-Nanodrähte freizulegen. Bei anderen Beispielen könnte die CHM 432 heruntergeätzt werden, um nur den ersten/oberen Silizium-Nanodraht freizulegen oder um die ersten zwei Silizium-Nanodrähte freizulegen. Es gibt mehrere Ausführungsbeispiele für das Freilegen der Silizium-Nanodrähte. Zum Beispiel kann bei einem Ausführungsbeispiel die CHM 432 unter Verwendung einer Ätzung geätzt werden, die sehr selektiv zu der CHM 432 ist, und dann wird die CHM 432 durch die zyklische selektive Ätzung auf eine gewünschte Ebene vertieft, wie in den 2A-2F und 3A-3E gezeigt. Bei einem anderen Ausführungsbeispiel kann die CHM 432 unter Verwendung einer Ätzung geätzt werden, die nicht selektiv zu der CHM 432 ist, sodass die CHM 432, der Vertiefungs-Abstandhalter 428 und die Silizium-Nanodrähte gleichzeitig geätzt werden.
  • 4F stellt den Prozess dar, nachdem eine Plasmawirkung ausgeführt wird, um die verbleibende CHM 432 bis hinunter zu dem Vertiefungs-Abstandshalter 428 zu entfernen.
  • 4G stellt den Prozess dar, nachdem eine andere Ätzung ausgeführt wird, um die Siliziumschicht 407/Silizium-Nanodrähte seitlich innerhalb des Vertiefungs-Abstandhalters 428 auf die gleiche Ebene wie das Opfer-Gate 450 zu ätzen. Zum Beispiel, bei einem Ausführungsbeispiel, bei der der Vertiefungs-Abstandhalter 428 6 nm dick ist, werden die Siliziumschicht 407/Silizium-Nanodrähte seitlich um 6 nm vertieft, sodass die Siliziumschicht 407/Silizium-Nanodrähte mit dem Opfer-Gate 450 bündig sind. Bei einem Ausführungsbeispiel kann die Ätzung eine SiGe-Vertiefungs-Abstandhalter-Ätzung umfassen.
  • 4H stellt den Prozess dar, nachdem ein Paar von Source- und Drain-Regionen 452 auf der/den freiliegenden Siliziumschicht 407/Silizium-Nanodrähten auf gegenüberliegenden Seiten des Opfer-Gates 450 (und des Vertiefungs-Abstandhalters 428) gebildet wird. Bei einem Ausführungsbeispiel umfasst das Bilden des Paares von Source- und Drain-Regionen 452 ein Wachsen (z. B. durch epitaktisches Wachsen), um einen Abschnitt des Nanodrahts auszudehnen. Die Umfänge der Source- und Drain-Regionen 452 können auf diese Weise so gefertigt werden, dass sie größer als der Umfang der Kanalregion sind. Bei einem solchen Ausführungsbeispiel umfasst der Nanodraht Silizium, und das Wachsen des Abschnitts des Nanodrahtes umfasst ein Bilden freiliegender <111> Siliziumoberflächen entlang des gesamten Umfangs jeder der Source- und Drain-Regionen 452. Bei einem solchen spezifischen Ausführungsbeispiel umfasst das Bilden der freiliegenden <111> Siliziumoberflächen ein Verwenden eines Abscheidens und nachfolgenden selektiven facettierten Ätzprozesses. Somit können <111> ausgerichtete Oberflächen durch entweder Abscheiden von epitaktischem Silizium hergestellt werden, um direkt <111> Facetten bereitzustellen, oder durch Abscheiden von Silizium und Verwenden einer ausrichtungsabhängigen Siliziumätzung. Bei einem wiederum anderen Ausführungsbeispiel kann der Prozess mit einem dickeren Nanodraht beginnen, gefolgt von einem nachfolgenden Ätzen unter Verwendung einer ausrichtungsabhängigen Siliziumätzung. Bei einem Ausführungsbeispiel umfasst das Bilden des Paares von Source- und Drain-Regionen ein Bilden einer Dotierungsschicht auf dem Umfang jeder der Source- und Drain-Regionen 452 und denselben vollständig umgebend, z. B. einer Bor-dotierten Silizium-Germanium-Schicht. Diese Schicht kann das Bilden eines Nanodrahtes mit einem gleichmäßig dotierten Umfang erleichtern.
  • Der Herstellungsprozess kann dann mit der Austausch-Gate-Verarbeitung und der Kontaktbildung fortfahren, um eine abgeschlossene IC-Struktur zu bilden, gemäß den offenbarten Ausführungsbeispielen.
  • Bei Ausführungsbeispielen können die Nitrid-Hartmaske 426, der Gate-Abstandhalter 470, der Vertiefungs-Abstandhalter 428 und/oder die CHM 432 aus geeigneten Abstandhalter- oder Hartmasken-Materialien, wie beispielsweise siliziumhaltigen Materialien, nitridhaltigen Materialien und Ähnlichem gebildet werden. Bei bestimmten Ausführungsbeispielen können die Abstandhalter-Materialien ein Siliziumnitridmaterial, ein Siliziumoxidmaterial (d. h. Siliziumdioxid), ein Polysiliziummaterial oder ein Titannitridmaterial sein. Es wird in Erwägung gezogen, dass die für die Finnen 204 oder Dorn-Strukturen und die Abstandhalter-Materialien ausgewählten Materialien für die Verwendung bei der Herstellung von FinFET-Strukturen geeignet sein können. Es wird auch in Erwägung gezogen, dass die für die Finnen 104/204 und die Abstandhalter-Materialien 206 ausgewählten Materialien unterschiedliche Charakteristika aufweisen können, um selektive Ätzprozesse zu erleichtern.
  • Die Abstandhalter-Materialien können durch verschiedene Techniken abgeschieden werden, wie beispielsweise chemische Dampfabscheidung (CVD; chemical vapor deposition), physikalische Dampfabscheidung (PVD; physical vapor deposition) oder andere geeignete Prozesse. Bei einem Ausführungsbeispiel können die Abstandhalter-Materialien überwiegend konform über die Finnen 104/204 und das Substrat 202 abgeschieden werden. Die Abstandhalter-Materialien können nach dem Abscheiden in einer Schulter-Region der Abstandhalter-Materialien ein abgerundetes Profil aufweisen. Die Finnen 104/204 können beabstandet werden, sodass, wenn die Abstandhalter-Materialien abgeschieden werden, ein Graben 208 zwischen benachbarten Finnen 104/204 gebildet werden kann.
  • Die hierin beschriebenen Integrierte-Schaltung-Strukturen können in einer elektronischen Vorrichtung umfasst sein. Als Beispiel einer solchen Vorrichtung sind die 5A und 5B Draufsichten eines Wafers und von Dies, die eine oder mehrere Integrierte-Schaltung-Strukturen umfassen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, gemäß einem oder mehreren der hierin offenbarten Ausführungsbeispiele.
  • Bezug nehmend auf 5A und 5B, kann ein Wafer 520 ein Halbleitermaterial umfassen und kann einen oder mehrere Dies 522 umfassen, die Integrierte-Schaltung- (IC-) Strukturen aufweisen, die auf einer Oberfläche des Wafers 520 gebildet sind. Jeder der Dies 522 kann eine wiederholende Einheit eines Halbleiterprodukts sein, das irgendeine geeignete IC umfasst (z. B. ICs, die eine oder mehrere Integrierte-Schaltung-Strukturen umfassen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung gebildet werden, wie vorangehend beschrieben. Nachdem die Herstellung des Halbleiter-Produkts abgeschlossen ist, kann der Wafer 520 einem Vereinzelungsprozess unterzogen werden, bei dem jeder der Dies 522 von einem anderen getrennt wird, um einzelne „Chips“ des Halbleiterprodukts bereitzustellen. Insbesondere können Strukturen, die eingebettete nichtflüchtige Speicherstrukturen umfassen, die einen unabhängig skalierten Selektor aufweisen, wie hierin offenbart, die Form des Wafers 520 (z. B. nicht vereinzelt) oder die Form des Dies 522 (z. B. vereinzelt) annehmen. Der Die 522 kann eine oder mehrere eingebettete nichtflüchtige Speicherstrukturen umfassen, die auf unabhängig skalierten Selektoren basieren, und/oder eine unterstützende Schaltungsanordnung, um elektrische Signale zu routen, sowie irgendwelche anderen IC-Komponenten. Bei einigen Ausführungsbeispielen können der Wafer 520 oder der Die 522 ein zusätzliches Speicherbauelement (z. B. ein statischer-Direktzugriffsspeicher- (SRAM - Static Random Access Memory) Bauelement), ein Logikbauelement (z. B. ein AND-, OR-, NAND-, oder NOR-Gate) oder irgendein anderes geeignetes Schaltungselement umfassen. Mehrere dieser Bauelemente können auf einem einzelnen Die 522 kombiniert sein. Zum Beispiel kann ein Speicher-Array, das durch mehrere Speicherbauelemente gebildet ist, auf einem selben Die 522 als eine Verarbeitungsvorrichtung oder andere Logik gebildet sein, die ausgebildet ist zum Speichern von Informationen in den Speicherbauelementen oder Ausführen von Anweisungen, die in dem Speicher-Array gespeichert sind.
  • Hierin offenbarte Ausführungsbeispiele können verwendet werden, um eine große Vielzahl von unterschiedlichen Typen von integrierten Schaltungen und/oder mikroelektronischen Bauelementen herzustellen. Beispiele solcher integrierten Schaltungen umfassen, sind aber nicht beschränkt auf Prozessoren, Chipsatz-Komponenten, Graphik-Prozessoren, digitale Signalprozessoren, Microcontroller und ähnliches. Bei anderen Ausführungsbeispielen kann ein Halbleiterspeicher hergestellt werden. Ferner können die integrierten Schaltungen oder andere mikroelektronische Bauelemente in einer großen Vielzahl von elektronischen Bauelementen verwendet werden, die in der Technik bekannt sind. Zum Beispiel in Computersystemen (z. B. Desktop, Laptop, Server), Mobiltelefonen, persönlicher Elektronik, etc. Die integrierten Schaltungen können mit einem Bus und anderen Komponenten in den Systemen gekoppelt sein. Zum Beispiel kann ein Prozessor durch einen oder mehrere Busse mit einem Speicher, einem Chipsatz, etc. gekoppelt sein. Jeder von dem Prozessor, dem Speicher und dem Chipsatz kann potenziell unter Verwendung der hierin offenbarten Ansätze hergestellt werden.
  • 6 stellt ein Blockdiagramm eines elektronischen Systems 600 gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung dar. Das elektronische System 600 kann z. B. einem tragbaren System, einem Computersystem, einem Prozesssteuerungssystem oder irgendeinem anderen System entsprechen, das einen Prozessor und einen zugehörigen Speicher verwendet. Das elektronische System 600 kann einen Mikroprozessor 602 (mit einem Prozessor 604 und einer Steuereinheit 606), eine Speichervorrichtung 608 und eine Ein-/AusgabeVorrichtung 610 umfassen (es wird darauf hingewiesen, dass das elektronische System 600 eine Mehrzahl von Prozessoren, Steuereinheiten, Speichervorrichtungseinheiten und/oder Ein-/Ausgabevorrichtungen bei verschiedenen Ausführungsbeispielen aufweisen kann). Bei einem Ausführungsbeispiel weist das elektronische System 600 einen Satz von Anweisungen auf, die Operationen definieren, die durch den Prozessor 604 an Daten ausgeführt werden sollen, sowie andere Transaktionen zwischen dem Prozessor 604, der Speichervorrichtung 608 und der Ein-/Ausgabevorrichtung 610. Die Steuereinheit 606 koordiniert die Operationen des Prozessors 604, der Speichervorrichtung 608 und der Ein-/Ausgabe-Vorrichtung 610, indem sie einen Satz von Operationen durchläuft, die bewirken, dass Anweisungen aus der Speichervorrichtung 608 abgerufen und ausgeführt werden. Die Speichervorrichtung 608 kann eine nichtflüchtige Speicherzelle umfassen, wie in der vorliegenden Beschreibung beschrieben ist. Bei einem Ausführungsbeispiel ist die Speichervorrichtung 608 in den Mikroprozessor 602 eingebettet, wie in 6 dargestellt. Bei einem Ausführungsbeispiel umfasst der Prozessor 604 oder eine andere Komponente des elektronischen Systems 600 eine oder mehrere Integrierte-Schaltung-Strukturen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung gebildet werden, wie jene, die hierin beschrieben sind.
  • 7 ist eine Querschnitt-Seitenansicht einer Integrierte-Schaltung- (IC-) Bauelementanordnung, die eine oder mehrere Integrierte-Schaltung-Strukturen umfassen kann, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, gemäß einem oder mehreren der hierin offenbarten Ausführungsbeispiele.
  • Bezug nehmend auf 7 umfasst eine IC-Bauelementanordnung 700 Komponenten, die eine oder mehrere der hierin beschriebenen Integrierte-Schaltung-Strukturen aufweisen. Die IC-Bauelementanordnung 700 umfasst eine Anzahl von Komponenten, die auf einer Schaltungsplatine 702 (die z. B. eine Hauptplatine sein kann) angeordnet sind. Die IC-Bauelementanordnung 700 umfasst Komponenten, die auf einer ersten Fläche 740 der Schaltungsplatine 702 und einer gegenüberliegenden zweiten Fläche 742 der Schaltungsplatine 702 angeordnet sind. Im Allgemeinen können Komponenten auf einer oder beiden Flächen 740 und 742 angeordnet sein. Insbesondere können irgendwelche geeigneten der Komponenten der IC-Bauelementanordnung 700 eine Anzahl von Integrierte-Schaltung-Strukturen umfassen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, wie hierin offenbart.
  • Bei einigen Ausführungsbeispielen kann die Schaltungsplatine 702 eine gedruckte Schaltungsplatine (PCB; printed circuit board) sein, umfassend mehrere Metallschichten, die durch Schichten aus Dielektrikumsmaterial voneinander getrennt und durch elektrisch leitfähige Vias zwischenverbunden sind. Irgend eine oder mehrere der Metallschichten können in einer gewünschten Schaltungsstruktur gebildet sein, um elektrische Signale (optional in Verbindung mit anderen Metallschichten) zwischen den Komponenten zu routen, die mit der Schaltungsplatine 702 gekoppelt sind. Bei anderen Ausführungsbeispielen kann die Schaltungsplatine 702 ein Nicht-PCB-Substrat sein.
  • Die IC-Bauelementanordnung 700, die in 7 dargestellt ist, umfasst eine Package-auf-Interposer-Struktur 736, die mit der ersten Fläche 740 der Schaltungsplatine 702 durch Kopplungskomponenten 716 gekoppelt ist. Die Kopplungskomponenten 716 können die Package-auf-Interposer-Struktur 736 elektrisch und mechanisch mit der Schaltungsplatine 702 koppeln und können Lötkugeln (wie in 7 gezeigt ist), Stecker und Buchse, ein Klebemittel, ein Unterfüllmaterial und/oder irgendeine andere geeignete elektrische und/oder mechanische Kopplungsstruktur umfassen.
  • Die Gehäuse-auf-Interposer-Struktur 736 kann ein IC-Package 720 umfassen, das mit einem Interposer 704 durch Kopplungskomponenten 718 gekoppelt ist. Die Kopplungskomponenten 718 können irgendeine geeignete Form für die Anwendung annehmen, wie beispielsweise die Formen, die vorangehend Bezug nehmend auf die Kopplungskomponenten 716 erörtert wurden. Obwohl ein einzelnes IC-Package 720 in 7 gezeigt ist, können mehrere IC-Packages mit dem Interposer 704 gekoppelt sein. Es wird darauf hingewiesen, dass zusätzliche Interposer mit dem Interposer 704 gekoppelt sein können. Der Interposer 704 kann ein dazwischenliegendes Substrat bereitstellen, das verwendet wird, um die Schaltungsplatine 702 und das IC-Package 720 zu überbrücken. Das IC-Package 720 kann zum Beispiel ein Die (der Die 522 aus 5B) oder irgendeine andere geeignete Komponente sein oder selbige umfassen. Im Allgemeinen kann der Interposer 704 eine Verbindung zu einem weiteren Abstand ausbreiten oder eine Verbindung zu einer unterschiedlichen Verbindung umleiten. Zum Beispiel kann der Interposer 704 das IC-Package 720 (z. B. ein Die) mit einem Kugelgitterarray (BGA; ball grid array) der Kopplungskomponenten 716 zum Koppeln mit der Schaltungsplatine 702 koppeln. Bei dem in 7 dargestellten Ausführungsbeispiel sind das IC-Package 720 und die Schaltungsplatine 702 an gegenüberliegende Seiten des Interposers 704 angebracht. Bei anderen Ausführungsbeispielen können das IC-Package 720 und die Schaltungsplatine 702 an einer gleichen Seite des Interposers 704 angebracht sein. Bei einigen Ausführungsbeispielen können drei oder mehr Komponenten mithilfe des Interposers 704 zwischenverbunden sein.
  • Der Interposer 704 kann aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Keramikmaterial oder einem Polymermaterial gebildet sein, wie beispielsweise Polyimid. Bei einigen Implementierungen kann der Interposer 704 aus wechselnden starren oder flexiblen Materialien gebildet sein, die dieselben Materialien umfassen können, die vorangehend zur Verwendung in einem Halbleitersubstrat beschrieben wurden, wie beispielsweise Silizium, Germanium und andere Gruppe III-V- und Gruppe-IV-Materialien. Der Interposer 704 kann Metall-Zwischenverbindungen 710 und Vias 708 umfassen, umfassend aber nicht beschränkt auf Silizium-Durchkontaktierungen (TSV; through-silicon via) 706. Der Interposer 704 kann ferner eingebettete Bauelemente umfassen, umfassend sowohl passive als auch aktive Bauelemente. Solche Bauelemente können umfassen, sind aber nicht beschränkt auf Kondensatoren, Entkopplungs-Kondensatoren, Widerstände, Induktivitäten, Sicherungen, Dioden, Transformatoren, Sensoren, ESD-Bauelemente (ESD = elektrostatische Entladung; electrostatic discharge) und Speicherbauelemente. Komplexere Bauelemente, wie beispielsweise Radiofrequenz- (RF-) Bauelemente, Leistungsverstärker, Leistungsmanagement-Bauelemente, Antennen, Arrays, Sensoren und mikroelektromechanisches-System- (MEMS-; microelectromechanical systems) Bauelemente können ebenfalls auf dem Interposer 704 gebildet sein. Die Package-auf-Interposer-Struktur 736 kann die Form von irgendwelchen Package-auf-Interposer-Strukturen annehmen, die in der Technik bekannt ist.
  • Die IC-Bauelementanordnung 700 kann ein IC-Package 724 umfassen, das mit der ersten Fläche 740 der Schaltungsplatine 702 durch Kopplungskomponenten 722 gekoppelt ist. Die Kopplungskomponenten 722 können die Form von irgendeinem der Ausführungsbeispiele annehmen, die vorangehend Bezug nehmend auf die Kopplungskomponenten 716 erörtert wurden, und das IC-Package 724 kann die Form von irgendeinem der Ausführungsbeispiele annehmen, die vorangehend Bezug nehmend auf das IC-Package 720 erörtert wurden.
  • Die IC-Bauelementanordnung 700, die in 7 dargestellt ist, umfasst eine Package-auf-Package-Struktur 734, die mit der zweiten Fläche 742 der Schaltungsplatine 702 durch Kopplungskomponenten 728 gekoppelt ist. Die Package-auf-Package-Struktur 734 kann ein IC-Package 726 und ein IC-Package 732 umfassen, die durch Kopplungskomponenten 730 derart miteinander gekoppelt sind, dass das IC-Package 726 zwischen der Schaltungsplatine 702 und dem IC-Package 732 angeordnet ist. Die Kopplungskomponenten 728 und 730 können die Form von irgendeinem der Ausführungsbeispiele der Kopplungskomponenten 716 annehmen, die vorangehend erörtert wurden, und die IC-Packages 726 und 732 können die Form von irgendeinem der vorangehend erörterten Ausführungsbeispiele des IC-Packages 720 annehmen. Die Package-auf-Package-Struktur 734 kann gemäß irgendeiner der im Stand der Technik bekannten Package-auf-Package-Strukturen ausgebildet sein.
  • 8 stellt eine Rechenvorrichtung 800 gemäß einer Implementierung der Offenbarung dar. Die Rechenvorrichtung 800 häust eine Platine 802. Die Platine 802 kann eine Anzahl von Komponenten umfassen, umfassend aber nicht beschränkt auf einen Prozessor 804 und zumindest einen Kommunikationschip 806. Der Prozessor 804 ist physisch und elektrisch mit der Platine 802 gekoppelt. Bei einigen Implementierungen ist der zumindest eine Kommunikationschip 806 auch physisch und elektrisch mit der Platine 802 gekoppelt. Bei weiteren Implementierungen ist der Kommunikationschip 806 Teil des Prozessors 804.
  • Abhängig von ihren Anwendungen kann die Rechenvorrichtung 800 andere Komponenten umfassen, die physisch und elektrisch mit der Platine 802 gekoppelt sein können oder nicht. Diese anderen Komponenten umfassen, sind aber nicht beschränkt auf einen flüchtigen Speicher (z. B. DRAM), einen nichtflüchtigen Speicher (z. B. ROM), einen Flash-Speicher, einen Graphikprozessor, einen digitalen Signalprozessor, einen Krypto-Prozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, eine Touchscreen-Steuerung, eine Batterie, einen Audio-Codec, einen Video-Codec, einen Leistungsverstärker, ein GPS-Bauelement (global positioning system; globales Positionierungssystem), einen Kompass, einen Beschleunigungssensor, ein Gyroskop, einen Lautsprecher, eine Kamera, und eine Massenspeichervorrichtung (wie beispielsweise Festplattenlaufwerk, CD (compact disk), DVD (digital versatile disk) usw.).
  • Der Kommunikationschip 806 ermöglicht drahtlose Kommunikationen für die Übertragung von Daten zu und von der Rechenvorrichtung 800. Der Ausdruck „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Bauelemente, Systeme, Verfahren, Techniken, Kommunikationskanäle etc. zu beschreiben, die Daten durch die Verwendung modulierter, elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die zugeordneten Bauelemente nicht irgendwelche Drähte umfassen, obwohl sie dies bei einigen Ausführungsbeispielen möglicherweise nicht tun. Der Kommunikationschip 806 kann irgendwelche einer Anzahl von drahtlosen Standards oder Protokollen implementieren, umfassend, aber nicht beschränkt auf Wi-Fi (IEEE 802.11 Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen davon, sowie irgendwelche anderen drahtlosen Protokolle, die bezeichnet werden als 3G, 4G, 5G, und darüber hinaus. Die Rechenvorrichtung 800 kann eine Mehrzahl von Kommunikationschips 806 umfassen. Zum Beispiel kann ein erster Kommunikationschip 806 zweckgebunden sein für drahtlose Kommunikation mit kürzerem Bereich, wie beispielsweise Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip 806 kann zweckgebunden sein für drahtlose Kommunikation mit längerem Bereich, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere.
  • Der Prozessor 804 der Rechenvorrichtung 800 umfasst einen Integrierte-Schaltung-Die, der innerhalb des Prozessors 804 gepackaged ist. Bei einigen Implementierungen der Offenbarung umfasst der Integrierte-Schaltung-Die des Prozessors eine oder mehrere Integrierte-Schaltung-Strukturen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden, gemäß Implementierungen der Ausführungsbeispiele der Offenbarung. Der Ausdruck „Prozessor“ kann sich auf irgendeine Vorrichtung oder Abschnitt einer Vorrichtung beziehen, die/der elektronische Daten aus Registern und/oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder Speicher gespeichert werden können.
  • Der Kommunikationschip 806 umfasst auch einen Integrierte-Schaltung-Die, der innerhalb des Kommunikationschips 806 gepackaged ist. Gemäß einer anderen Implementierung der Ausführungsbeispiele der Offenbarung umfasst der Integrierte-Schaltung-Die des Kommunikationschips eine oder mehrere Integrierte-Schaltung-Strukturen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung gebildet werden, gemäß Implementierungen der Ausführungsbeispiele der Offenbarung.
  • Bei weiteren Implementierungen kann eine andere Komponente, die innerhalb der Rechenvorrichtung 800 gepackaged ist, einen Integrierte-Schaltung-Die umfassen, der eine oder mehrere Integrierte-Schaltung-Strukturen umfasst, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung gebildet werden, gemäß Implementierungen der Ausführungsbeispiele der Offenbarung.
  • Bei verschiedenen Implementierungen kann die Rechenvorrichtung 800 ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet, ein PDA (persönlicher digitaler Assistent; personal digital assistant), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungs-Steuereinheit (entertainment control unit), eine Digitalkamera, ein tragbarer Musikspieler oder ein digitaler Videorecorder sein. Bei weiteren Implementierungen kann die Rechenvorrichtung 800 irgendeine andere elektronische Vorrichtung sein, die Daten verarbeitet. Somit umfassen hierin beschriebene Ausführungsbeispiele Integrierte-Schaltung-Strukturen, die mit DEPOP unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung hergestellt werden.
  • Die vorangegangene Beschreibung von veranschaulichenden Implementierungen von Ausführungsbeispielen der Offenbarung, umfassend was in der Zusammenfassung beschrieben ist, soll nicht erschöpfend sein oder die Offenbarung auf die präzisen offenbarten Formen begrenzen. Während spezifische Implementierungen und Beispiele der Offenbarung hierin zur Veranschaulichung beschrieben werden, sind verschiedene äquivalente Modifikationen innerhalb des Schutzbereichs der Offenbarung möglich, wie es Fachleute auf dem relevanten Gebiet erkennen werden.
  • Diese Modifikationen können an der Offenbarung im Hinblick auf die obige detaillierte Beschreibung vorgenommen werden. Die Ausdrücke, die in den folgenden Ansprüchen verwendet werden, sollten nicht derart betrachtet werden, dass sie die Offenbarung auf die spezifischen Implementierungen einschränken, die in der Beschreibung und den Ansprüchen offenbart sind.
  • Stattdessen soll der Schutzbereich der Offenbarung vollständig durch die nachfolgenden Ansprüche bestimmt sein, die gemäß etablierter Vorgaben der Anspruchsinterpretation ausgelegt werden sollen.
  • Ausführungsbeispiel 1: Eine Integrierte-Schaltung-Struktur umfasst eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht. Eine Gate-Struktur befindet sich über der Halbleiterfinne. Eine Mehrzahl von vertikal gestapelten Nanodrähten verläuft durch die Gate-Struktur, die Mehrzahl von vertikal gestapelten Nanodrähten umfassend einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne. Ein Dielektrikumsmaterial deckt nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten außerhalb der Gate-Struktur ab, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial freigelegt sind. Source- und Drain-Regionen befinden sich an gegenüberliegenden Seiten der Gate-Struktur, die mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten verbunden sind.
  • Ausführungsbeispiel 2: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1, wobei die vertikal gestapelten Nanodrähte ein Material umfassen, umfassend eines von: Silizium, Germanium und Silizium und Germanium.
  • Ausführungsbeispiel 3: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1 oder 2, wobei die vertikal gestapelten Nanodrähte eine Breite von weniger als ungefähr 20 nm aufweisen.
  • Ausführungsbeispiel 4: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1 oder 2, wobei die Breite der vertikal gestapelten Nanodrähte in einem Bereich von ungefähr 8-10 nm liegt.
  • Ausführungsbeispiel 5: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1, 2, 3 oder 4, wobei die Länge durch die Gate-Struktur der vertikal gestapelten Nanodrähte in einem Bereich von ungefähr 8-30 nm liegt.
  • Ausführungsbeispiel 6: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1, 2, 3, 4 , oder 5, wobei die vertikal gestapelten Nanodrähte eine breite und flache Querschnittsform aufweisen.
  • Ausführungsbeispiel 7: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 1, 2, 3, 4, 5 oder 6, wobei eine höhere Anzahl von freiliegenden vertikal gestapelten Nanodrähten zu einem zusätzlichen Antriebsstrom für die Integrierte-Schaltung-Struktur führt.
  • Ausführungsbeispiel 8: Integrierte Schaltungsstruktur umfasst eine Mehrzahl von nicht-planaren aktiven Regionen über einem Substrat, jede der nicht-planaren aktiven Regionen umfasst eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht. Eine Gate-Struktur befindet sich über der Halbleiterfinne. Eine Mehrzahl von vertikal gestapelten Nanodrähten verläuft durch die Gate-Struktur, die Mehrzahl von vertikal gestapelten Nanodrähten umfassend einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne. Ein Dielektrikumsmaterial deckt nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten außerhalb der Gate-Struktur ab, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial freigelegt sind. Source- und Drain-Regionen befinden sich an gegenüberliegenden Seiten der Gate-Struktur, die mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten verbunden sind.
  • Ausführungsbeispiel 9: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, wobei zumindest einige der nicht-planaren aktiven Regionen variierende Anzahlen der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweisen, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  • Ausführungsbeispiel 10: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8 oder 9, die Mehrzahl von nicht-planaren aktiven Regionen ferner umfassend: eine erste nicht-planare aktive Region, die eine erste Anzahl der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweist, die mit den jeweiligen Source- und Drain-Regionen verbunden sind; und eine zweite nicht-planare aktive Region, aufweisend eine zweite Anzahl der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  • Ausführungsbeispiel 11: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 10, wobei die erste nicht-planare aktive Region eine I/O-Region umfasst und die zweite nicht-planare aktive Region eine Logikregion umfasst.
  • Ausführungsbeispiel 12: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10 oder 11, ferner umfassend eine Isolationsstruktur zwischen und in Kontakt mit benachbarten der Gate-Strukturen.
  • Ausführungsbeispiel 13: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10, 11 oder 12, wobei die vertikal gestapelten Nanodrähte ein Material umfassen, umfassend eines von: Silizium, Germanium und Silizium und Germanium.
  • Ausführungsbeispiel 14: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10, 11, 12 oder 13, wobei die vertikal gestapelten Nanodrähte eine Breite von weniger als ungefähr 20 nm aufweisen.
  • Ausführungsbeispiel 15: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 14, wobei die Breite der vertikal gestapelten Nanodrähte in einem Bereich von ungefähr 8-10 nm liegt.
  • Ausführungsbeispiel 16: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10, 11, 12, 13, 14 oder 15, wobei die Länge durch die Gate-Struktur der vertikal gestapelten Nanodrähte in einem Bereich von ungefähr 8-30 nm liegt.
  • Ausführungsbeispiel 17: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10, 11, 12, 13, 14, 15 oder 16, wobei die vertikal gestapelten Nanodrähte eine breite und flache Querschnittsform aufweisen.
  • Ausführungsbeispiel 18: Die Integrierte-Schaltung-Struktur gemäß Ausführungsbeispiel 8, 9, 10, 11, 12, 13, 14, 15, 16 oder 17, wobei eine höhere Anzahl von freiliegenden vertikal gestapelten Nanodrähten zu einem zusätzlichen Antriebsstrom für die Integrierte-Schaltung-Struktur führt.
  • Ausführungsbeispiel 19: Ein Verfahren zum Herstellen einer Nanodraht-basierten Integrierte-Schaltung-Struktur umfasst ein Bilden einer Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht. Eine Mehrzahl von vertikal gestapelten Nanodrähten und eine Opfer-Gate-Struktur wird um die Halbleiterfinne herum gebildet, wobei die Mehrzahl von vertikal gestapelten Nanodrähten durch die Opfer-Gate-Struktur gebildet wird und einen oberen Nanodraht benachbart zu einer Oberseite der Opfer-Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne umfasst. Ein Abstandhalter, umfassend ein Dielektrikumsmaterial, wird über der Mehrzahl von vertikal gestapelten Nanodrähten und der Opfer-Gate-Struktur gebildet. Der Abstandhalter wird von einem oder mehreren der vertikal gestapelten Nanodrähte auf gegenüberliegenden Seiten des Gates unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung entfernt, beginnend mit dem oberen Nanodraht. Source- und Drain-Regionen werden an den gegenüberliegenden Seiten der Opfer-Gate-Struktur gebildet, um nur mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten zu verbinden.
  • Ausführungsbeispiel 20: Das Verfahren gemäß Ausführungsbeispiel 19, ferner umfassend ein Bilden der Mehrzahl von vertikal gestapelten Nanodrähten, sodass die jeweiligen Nanodrähte eine Breite in einem Bereich von ungefähr 8-10 nm aufweisen.
  • Ausführungsbeispiel 21: Das Verfahren gemäß Ausführungsbeispiel 19 oder 20, das Entfernen des Abstandhalters von einem oder mehreren der vertikal gestapelten Nanodrähten an gegenüberliegenden Seiten des Gates unter Verwendung der zyklischen selektiven Abstandhalter-Ätzung ferner umfassend: Ausführen einer Anzahl von Zyklen der selektiven Abstandhalter-Ätzung, die gleich der Anzahl der vertikal gestapelten Nanodrähten ist, die von dem Abstandshalter freigelegt sind.
  • Ausführungsbeispiel 22: Das Verfahren gemäß Ausführungsbeispiel 21, ferner umfassend ein Ausführen der zyklischen selektiven Abstandhalter-Ätzung, sodass jeder Zyklus eine erste Ätzung umfasst, um den Abstandhalter selektiv zu einem ersten Nanodraht zu ätzen, und eine zweite Ätzung, um den ersten Nanodraht selektiv zu dem Abstandhalter zu ätzen, um den ersten Nanodraht zu schneiden.
  • Ausführungsbeispiel 23: Das Verfahren gemäß Ausführungsbeispiel 19, 20, 21 oder 22, ferner umfassend ein Bilden der Mehrzahl von vertikal gestapelten Nanodrähten durch Bilden eines abwechselnden Stapels von Siliziumschichten und Silizium-Germanium-Schichten über der Halbleiterfinne.
  • Ausführungsbeispiel 24: Das Verfahren gemäß Ausführungsbeispiel 19, 20, 21, 22 oder 23, wobei die Halbleiterfinne, die Mehrzahl von vertikal gestapelten Nanodrähten zumindest einen Teil einer nicht-planaren aktiven Region umfassen, das Verfahren ferner umfassend: Bilden einer Mehrzahl von nicht-planaren aktiven Regionen, wobei zumindest einige der nicht-planaren aktiven Regionen variierende Anzahlen der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweisen, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  • Ausführungsbeispiel 25: Das Verfahren gemäß Ausführungsbeispiel 19, 20, 21, 22, 23 oder 24, ferner umfassend ein Ersetzen der Opfer-Gate-Struktur durch ein Metall während eines Gate-Austausch-Prozesses.

Claims (25)

  1. Eine Integrierte-Schaltung-Struktur, umfassend: eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht; eine Gate-Struktur über der Halbleiterfinne; eine Mehrzahl von vertikal gestapelten Nanodrähten durch die Gate-Struktur, wobei die Mehrzahl von vertikal gestapelten Nanodrähten einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne umfasst; ein Dielektrikumsmaterial, das nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten außerhalb der Gate-Struktur abdeckt, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial freigelegt sind; und Source- und Drain-Regionen an gegenüberliegenden Seiten der Gate-Struktur, die mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten verbunden sind.
  2. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1, wobei die Mehrzahl von vertikal gestapelten Nanodrähten ein Material umfasst, umfassend eines von: Silizium, Germanium und Silizium und Germanium.
  3. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1 oder 2, wobei die Mehrzahl von vertikal gestapelten Nanodrähten eine Breite von weniger als ungefähr 20 nm aufweist.
  4. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1 oder 2, wobei die Breite der Mehrzahl von vertikal gestapelten Nanodrähten in einem Bereich von ungefähr 8-10 nm liegt.
  5. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1, 2, 3 oder 4, wobei die Länge durch die Gate-Struktur der Mehrzahl von vertikal gestapelten Nanodrähten in einem Bereich von ungefähr 8-30 nm liegt.
  6. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1, 2, 3, 4 oder 5, wobei die Mehrzahl von vertikal gestapelten Nanodrähten eine breite und flache Querschnittsform aufweist.
  7. Die Integrierte-Schaltung-Struktur gemäß Anspruch 1, 2, 3, 4, 5 oder 6, wobei eine höhere Anzahl von freiliegenden vertikal gestapelten Nanodrähten zu einem zusätzlichen Antriebsstrom für die Integrierte-Schaltung-Struktur führt.
  8. Eine Integrierte-Schaltung-Struktur, umfassend: eine Mehrzahl von nicht-planaren aktiven Regionen über einem Substrat, jede der nicht-planaren aktiven Regionen umfassend: eine Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht; eine Gate-Struktur über der Halbleiterfinne; eine Mehrzahl von vertikal gestapelten Nanodrähten durch die Gate-Struktur, wobei die Mehrzahl von vertikal gestapelten Nanodrähten einen oberen Nanodraht benachbart zu einer Oberseite der Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne umfasst; ein Dielektrikumsmaterial, das nur einen Abschnitt der Mehrzahl von vertikal gestapelten Nanodrähten außerhalb der Gate-Struktur abdeckt, sodass einer oder mehrere der Mehrzahl von vertikal gestapelten Nanodrähten, beginnend mit dem oberen Nanodraht, von dem Dielektrikumsmaterial freigelegt sind; und Source- und Drain-Regionen an gegenüberliegenden Seiten der Gate-Struktur, die mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten verbunden sind.
  9. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, wobei zumindest einige der nicht-planaren aktiven Regionen variierende Anzahlen der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweisen, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  10. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8 oder 9, die Mehrzahl von nicht-planaren aktiven Regionen ferner umfassend: eine erste nicht-planare aktive Region, die eine erste Anzahl der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweist, die mit den jeweiligen Source- und Drain-Regionen verbunden sind; und eine zweite nicht-planare aktive Region, die eine zweite Anzahl der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweist, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  11. Die Integrierte-Schaltung-Struktur gemäß Anspruch 10, wobei die erste nicht-planare aktive Region eine I/O-Region umfasst und die zweite nicht-planare aktive Region eine Logikregion umfasst.
  12. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10 oder 11, ferner umfassend eine Isolationsstruktur zwischen und in Kontakt mit benachbarten Gate-Strukturen.
  13. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10, 11 oder 12, wobei die Mehrzahl von vertikal gestapelten Nanodrähten ein Material umfasst, umfassend eines von: Silizium, Germanium und Silizium und Germanium.
  14. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10, 11, 12 oder 13, wobei die Mehrzahl von vertikal gestapelten Nanodrähten eine Breite von weniger als ungefähr 20 nm aufweist.
  15. Die Integrierte-Schaltung-Struktur gemäß Anspruch 14, wobei die Breite der Mehrzahl von vertikal gestapelten Nanodrähten in einem Bereich von ungefähr 8-10 nm liegt.
  16. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10, 11, 12, 13, 14 oder 15, wobei die Länge durch die Gate-Struktur der Mehrzahl von vertikal gestapelten Nanodrähten in einem Bereich von ungefähr 8-30 nm liegt.
  17. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10, 11, 12, 13, 14, 15 oder 16, wobei die Mehrzahl von vertikal gestapelten Nanodrähten eine breite und flache Querschnittsform aufweist.
  18. Die Integrierte-Schaltung-Struktur gemäß Anspruch 8, 9, 10, 11, 12, 13, 14, 15, 16 oder 17, wobei eine höhere Anzahl von freiliegenden vertikal gestapelten Nanodrähten zu einem zusätzlichen Antriebsstrom für die Integrierte-Schaltung-Struktur führt.
  19. Ein Verfahren zum Herstellen einer Nanodraht-basierten Integrierte-Schaltung-Struktur, das Verfahren umfassend: Bilden einer Halbleiterfinne, die durch eine Grabenisolationsregion über einem Substrat hervorsteht; Bilden einer Mehrzahl von vertikal gestapelten Nanodrähten und einer Opfer-Gate-Struktur um die Halbleiterfinne herum, wobei die Mehrzahl von vertikal gestapelten Nanodrähten durch die Opfer-Gate-Struktur gebildet wird und einen oberen Nanodraht benachbart zu einer Oberseite der Opfer-Gate-Struktur und einen unteren Nanodraht benachbart zu einer Oberseite der Halbleiterfinne umfasst; Bilden eines Abstandhalters, umfassend ein Dielektrikumsmaterial über der Mehrzahl von vertikal gestapelten Nanodrähten und der Opfer-Gate-Struktur; Entfernen des Abstandhalters von einem oder mehreren der Mehrzahl von vertikal gestapelten Nanodrähten auf gegenüberliegenden Seiten der Opfer-Gate-Struktur unter Verwendung einer zyklischen selektiven Abstandhalter-Ätzung, beginnend mit dem oberen Nanodraht, um den einen oder die mehreren der Mehrzahl von vertikal gestapelten Nanodrähten freizulegen; und Bilden von Source- und Drain-Regionen an den gegenüberliegenden Seiten der Opfer-Gate-Struktur, um mit den freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten zu verbinden.
  20. Das Verfahren gemäß Anspruch 19, ferner umfassend ein Bilden der Mehrzahl von vertikal gestapelten Nanodrähten, sodass die jeweiligen Nanodrähte eine Breite in einem Bereich von ungefähr 8-10 nm aufweisen.
  21. Das Verfahren gemäß Anspruch 19 oder 20, das Entfernen des Abstandhalters von einem oder mehreren der Mehrzahl von vertikal gestapelten Nanodrähten an den gegenüberliegenden Seiten der Opfer-Gate-Struktur unter Verwendung der zyklischen selektiven Abstandhalter-Ätzung ferner umfassend: Ausführen einer Anzahl von Zyklen der selektiven Abstandhalter-Ätzung, die gleich der Anzahl der Mehrzahl von vertikal gestapelten Nanodrähten ist, die von dem Abstandhalter freizulegen sind.
  22. Das Verfahren gemäß Anspruch 21, ferner umfassend ein Ausführen der zyklischen selektiven Abstandhalter-Ätzung, sodass jeder Zyklus eine erste Ätzung umfasst, um den Abstandhalter selektiv zu einem ersten Nanodraht zu ätzen, und eine zweite Ätzung, um den ersten Nanodraht selektiv zu dem Abstandhalter zu ätzen, um den ersten Nanodraht zu schneiden.
  23. Das Verfahren gemäß Anspruch 19, 20, 21 oder 22, ferner umfassend ein Bilden der Mehrzahl von vertikal gestapelten Nanodrähten durch Bilden eines abwechselnden Stapels von Siliziumschichten und Silizium-Germanium-Schichten über der Halbleiterfinne.
  24. Das Verfahren gemäß Anspruch 19, 20, 21, 22 oder 23, wobei die Halbleiterfinne und die Mehrzahl von vertikal gestapelten Nanodrähten zumindest einen Teil einer nicht-planaren aktiven Region umfassen, das Verfahren ferner umfassend: Bilden einer Mehrzahl von nicht-planaren aktiven Regionen, wobei zumindest einige der nicht-planaren aktiven Regionen variierende Anzahlen der freiliegenden der Mehrzahl von vertikal gestapelten Nanodrähten aufweisen, die mit den jeweiligen Source- und Drain-Regionen verbunden sind.
  25. Das Verfahren gemäß Anspruch 19, 20, 21, 22, 23 oder 24, ferner umfassend ein Ersetzen der Opfer-Gate-Struktur durch ein Metall während eines Gate-Austausch-Prozesses.
DE102020113776.1A 2019-06-27 2020-05-21 Depop unter verwendung zyklischer selektiver abstandhalter-ätzung Pending DE102020113776A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/454,408 2019-06-27
US16/454,408 US11569370B2 (en) 2019-06-27 2019-06-27 DEPOP using cyclic selective spacer etch

Publications (1)

Publication Number Publication Date
DE102020113776A1 true DE102020113776A1 (de) 2020-12-31

Family

ID=73747496

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020113776.1A Pending DE102020113776A1 (de) 2019-06-27 2020-05-21 Depop unter verwendung zyklischer selektiver abstandhalter-ätzung

Country Status (2)

Country Link
US (1) US11569370B2 (de)
DE (1) DE102020113776A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021113003A1 (de) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
DE102020115785A1 (de) * 2019-12-17 2021-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und verfahren zu deren herstellung
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11527614B2 (en) * 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US20230060454A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with fin isolation structure and method
US20230197816A1 (en) * 2021-12-21 2023-06-22 Mohammad Hasan Integrated circuit structures having metal gate plug landed on dielectric anchor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
KR100556350B1 (ko) * 2004-05-10 2006-03-03 동부아남반도체 주식회사 반도체 소자 및 그 제조방법
JP2006114843A (ja) * 2004-10-18 2006-04-27 Toshiba Corp 半導体装置
KR100645066B1 (ko) * 2005-06-27 2006-11-10 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US7611939B2 (en) * 2007-05-07 2009-11-03 Texas Instruments Incorporated Semiconductor device manufactured using a laminated stress layer
US8273629B2 (en) * 2009-03-19 2012-09-25 International Business Machines Corporation Through-gate implant for body dopant
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
DE102010028466B4 (de) * 2010-04-30 2012-02-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bewahren der Integrität eines Gatestapels mit großem ε nach Einbettung in ein Verspannungsmaterial unter Anwendung einer Beschichtung
US20120025315A1 (en) * 2010-07-30 2012-02-02 Globalfoundries Inc. Transistor with Embedded Strain-Inducing Material and Dummy Gate Electrodes Positioned Adjacent to the Active Region
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
JP2014123668A (ja) * 2012-12-21 2014-07-03 Toshiba Corp Mos型半導体装置及びその製造方法
US9263520B2 (en) * 2013-10-10 2016-02-16 Globalfoundries Inc. Facilitating fabricating gate-all-around nanowire field-effect transistors
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021113003A1 (de) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102021113003B4 (de) 2021-02-12 2023-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung

Also Published As

Publication number Publication date
US11569370B2 (en) 2023-01-31
US20200411661A1 (en) 2020-12-31

Similar Documents

Publication Publication Date Title
DE102020113776A1 (de) Depop unter verwendung zyklischer selektiver abstandhalter-ätzung
DE112016007299T5 (de) Rückseiten-source/drain-austausch für halbleiterbauelemente mit metallisierung auf beiden seiten
DE112016007503T5 (de) Integrierte-Schaltung-Bauelement mit Rückseiten-Zwischenverbindung zu tiefem Source/Drain-Halbleiter
DE102019121697A1 (de) Integrierte Gate-Rundum-Schaltungsstrukturen mit asymmetrischen Source- und Drain-Kontaktstrukturen
DE112015006959T5 (de) Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen
DE112016007034T5 (de) Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand
DE112016007104T5 (de) Dual-finne-endkappe für selbstjustierte (sage) architekturen
DE102020129973A1 (de) Einzelgate-3d-nanodraht-inverter für dickes-gate-soc-anwendungen mit hoher dichte
DE102020103517A1 (de) Gate-All-Around-Strukturen für integrierte Schaltungen mit Source- oder Drain-Strukturen mit epitaktischen Noppen
DE112015006962T5 (de) Hybride tri-gate- und nanodraht-cmos-vorrichtungsarchitektur
DE102020128908A1 (de) Integrierte Gate-All-Around-Schaltkreisstrukturen, die Bausteine mit elektrischem Kanal-Substrat-Kontakt aufweisen
DE102019132137A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit oxid-teilfinnen
DE102019108021A1 (de) Wrap-Around-Kontaktstrukturen für Halbleiterfinnen
DE112018007362T5 (de) Gestapelte transistoren mit si pmos und hochmobilitäts-dünnfilmtransistor-nmos
DE112017008331T5 (de) Vertikal gestapelte Transistorbauelemente mit Isolationswandstrukturen, die einen elektrischen Leiter umfassen
DE102021121273A1 (de) Herstellung von gate-all-around-integrierte-schaltung-strukturen mit vor-abstandshalter-abscheidung-geschnittenen gates
DE102020104981A1 (de) Selbstausgerichtete-gate-endkappe(sage)-architektur mit lokalen zwischenverbindungen
DE102020107045A1 (de) Source- oder drain-strukturen mit phosphor- und arsen-co-dotierstoffen
DE102020128647A1 (de) Gate-all-around-integrierte-schaltungs-strukturen mit isolatorsubstrat
DE112016007366T5 (de) Halbleitervorrichtung, die finnenendspannungsinduzierende merkmale aufweist
DE102020107015A1 (de) Source- oder drain-strukturen mit vertikalen gräben
DE102019132101A1 (de) Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung
DE102021121941A1 (de) Ansätze mit geringem widerstand für die herstellung von kontakten und der sich daraus ergebenden strukturen
DE102020105127A1 (de) Source- oder drain-strukturen für germanium-n-kanalvorrichtungen
DE112017008139T5 (de) Dünnfilmtransistoren mit relativ erhöhter Breite und gemeinschaftlich verwendeten Bitleitungen