DE102017115111A1 - Halbleitervorrichtung und Verfahren - Google Patents

Halbleitervorrichtung und Verfahren Download PDF

Info

Publication number
DE102017115111A1
DE102017115111A1 DE102017115111.7A DE102017115111A DE102017115111A1 DE 102017115111 A1 DE102017115111 A1 DE 102017115111A1 DE 102017115111 A DE102017115111 A DE 102017115111A DE 102017115111 A1 DE102017115111 A1 DE 102017115111A1
Authority
DE
Germany
Prior art keywords
opening
substrate
spacer
spacer structure
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017115111.7A
Other languages
English (en)
Other versions
DE102017115111B4 (de
Inventor
Bo-Feng YOUNG
Che-Cheng Chang
Po-Chi WU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017115111A1 publication Critical patent/DE102017115111A1/de
Application granted granted Critical
Publication of DE102017115111B4 publication Critical patent/DE102017115111B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

Es sind ein Herstellungsverfahren und eine Vorrichtung vorgesehen, bei der eine erste Öffnung in einem Substrat ausgebildet wird. Die erste Öffnung wird mit einem zweiten Ätzverfahren in eine zweite Öffnung umgeformt. das zweite Ätzverfahren wird mit einer radikalischen Ätzung durchgeführt, in der neutrale Ionen verwendet werden. Als solche wird die Substrat-Versetzung reduziert.

Description

  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden üblicherweise hergestellt, indem isolierende oder dielektrische Schichten, leitende Schichten und Halbleiterschichten verschiedener Materialien nach einander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten mittels Lithographie strukturiert werden, um Schaltungskomponenten und -Elemente darauf auszubilden.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerständen, Kondensatoren etc.) durch kontinuierliche Verkleinerung der minimalen Merkmalsgröße, die es ermöglicht, dass mehr Komponenten in eine vorgegebene Fläche integriert werden können. Wenn jedoch die minimale Merkmalgröße verkleinert wird, ergeben sich zusätzliche Probleme, die behoben werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert werden.
    • Die 1A-1B zeigen eine FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 2 zeigt das Ausbilden einer ersten Öffnung in einer Rippe gemäß einigen Ausführungsformen.
    • Die 3A-3C zeigen das Ausbilden einer zweiten Öffnung in der Rippe gemäß einigen Ausführungsformen.
    • 4 zeigt eine Tiefenbelastung der zweiten Öffnungen gemäß einigen Ausführungsformen.
    • 5 zeigt ein Ersatzgate-Verfahren gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Unter Bezugnahme auf die 1A und 1B (wobei 1B eine Querschnittsansicht von 1A entlang der Linie B-B' zeigt) ist eine Perspektivansicht einer Halbleitervorrichtung 100 gezeigt, wie beispielsweise einer FinFET-Vorrichtung (z.B. eines PMOSFETs oder NMOSFETs). In einer Ausführungsform umfasst die Halbleitervorrichtung 100 ein Substrat 101 mit darin ausgebildeten ersten Gräben 103. Das Substrat 101 kann ein Siliziumsubstrat sein, obwohl andere Substrate, wie Halbleiter-auf-Isolator (SOI), verspannter SOI und Silizium-Germanium-auf-Isolator verwendet werden könnten. Das Substrat 101 kann ein p-Halbleiter sein, obwohl es in anderen Ausführungsformen ein n-Halbleiter sein könnte.
  • Die ersten Gräben 103 können als ein erster Schritt bei dem späteren Ausbilden von ersten Isolationsbereichen 105 ausgebildet werden. Die ersten Gräben 103 können unter Verwendung einer Maskierungsschicht (nicht getrennt in 1A gezeigt) zusammen mit einem geeigneten Ätzverfahren ausgebildet werden. Beispielsweise kann die Maskierungsschicht eine Hartmaske sein, die Siliziumnitrid umfasst, das durch ein Verfahren wie chemische Dampfabscheidung (CVD) ausgebildet wird, obwohl andere Materialien, wie z. B. Oxide, Oxynitride, Siliziumkarbid, Kombinationen davon oder dergleichen, und andere Verfahren, wie z. B. plasmaverstärkte chemische Dampfabscheidung (PECVD), Niederdruck-chemische Dampfabscheidung (LPCVD) oder sogar Siliziumoxidbildung, gefolgt von Nitrierung, verwendet werden können. Sobald sie ausgebildet wurde, kann die Maskierungsschicht durch ein geeignetes photolithographisches Verfahren strukturiert werden, um jene Abschnitte des Substrats 101 freizulegen, die entfernt werden, um die ersten Gräben 103 auszubilden.
  • Wie der Fachmann erkennen wird, sind jedoch die oben beschriebenen Verfahren und Materialien zum Ausbilden der Maskierungsschicht nicht das einzige Verfahren, das verwendet werden kann, um Abschnitte des Substrats 101 zu schützen, während andere Abschnitte des Substrats 101 für das Ausbilden der ersten Gräben 103 freigelegt werden. Jedes geeignete Verfahren, wie ein strukturierter und entwickelter Photoresist, kann verwendet werden, um zu entfernende Abschnitte des Substrats 101 freizulegen, um die ersten Gräben 103 auszubilden. Alle derartigen Verfahren sollen vollständig in den Umfang der vorliegenden Ausführungsformen einbezogen werden.
  • Sobald eine Maskierungsschicht ausgebildet und strukturiert wurde, werden die ersten Gräben 103 in dem Substrat 101 ausgebildet. Der freiliegende Teil des Substrats 101 kann durch ein geeignetes Verfahren, wie reaktives Ionenätzen (RIE), entfernt werden, um die ersten Gräben 103 in dem Substrat 101 auszubilden, obwohl jedes geeignete Verfahren verwendet werden kann. In einer Ausführungsform können die ersten Gräben 103 so ausgebildet sein, dass sie eine Tiefe von weniger als etwa 5.000 Å von der Oberfläche des Substrats 101 aufweisen, wie etwa 2.500 Å.
  • Wie jedoch der Fachmann erkennen wird, ist das oben beschriebene Verfahren zum Ausbilden der ersten Gräben 103 lediglich ein mögliches Verfahren und soll nicht die einzige Ausführungsform sein. Vielmehr kann jedes geeignete Verfahren, durch das die ersten Gräben 103 ausgebildet werden können, verwendet werden, und jedes geeignete Verfahren, einschließlich einer beliebigen Anzahl von Maskierungs- und Entfernungsschritten, kann verwendet werden.
  • Zusätzlich zum Ausbilden der ersten Gräben 103 bildet das Maskierungs- und Ätzverfahren Rippen 107 aus jenen Abschnitten des Substrats 101 aus, die nicht entfernt wurden. Zur Vereinfachung wurden die Rippen 107 in den Figuren als von dem Substrat 101 durch eine gestrichelte Linie getrennt gezeigt, obwohl ein physisches Merkmal der Trennung vorhanden sein kann, aber nicht muss. Diese Rippen 107 können, wie unten beschrieben, verwendet werden, um den Kanalbereich von Mehrfach-Gate-FinFET-Transistoren zu bilden. Während 1A nur drei Rippen 107 zeigt, die aus dem Substrat 101 ausgebildet wurden, kann eine beliebige Anzahl von Rippen 107 verwendet werden.
  • Die Rippen 107 können so ausgebildet sein, dass sie eine Breite an der Oberfläche des Substrats 101 von zwischen etwa 5 nm und etwa 80 nm aufweisen, beispielsweise etwa 30 nm. Zusätzlich können die Rippen 107 einen Abstand von zwischen etwa 10 nm und etwa 100 nm, wie beispielsweise 50 nm, voneinander haben. Durch einen derartigen Abstand der Rippen 107 können die Rippen 107 jeweils einen separaten Kanalbereich bilden, während sie noch nahe genug zu einander sind, um ein gemeinsames Gate zu teilen (wie weiter unten beschrieben ist).
  • Sobald die ersten Gräben 103 und die Rippen 107 ausgebildet wurden, können die ersten Gräben 103 mit einem dielektrischen Material gefüllt werden und das dielektrische Material kann innerhalb der ersten Gräben 103 vertieft werden, um die ersten Isolationsbereiche 105 auszubilden. Das dielektrische Material kann ein Oxidmaterial, ein hochdichtes Plasma-(HDP)-Oxid oder dergleichen sein. Das dielektrische Material kann nach einer optionalen Reinigung und Auskleidung der ersten Gräben 103 entweder unter Verwendung eines chemischen Dampfabscheidungsverfahrens (CVD) (z. B. des HARP-Verfahrens), eines hochdichten Plasma-CVD-Verfahrens oder eines anderen geeigneten Ausbildungsverfahrens ausgebildet werden, das im Stand der Technik bekannt ist.
  • Die ersten Gräben 103 können durch Überfüllen der ersten Gräben 103 und des Substrats 101 mit dem dielektrischen Material und dann dem Entfernen des überschüssigen Materials außerhalb der ersten Gräben 103 und der Rippen 107 durch ein geeignetes Verfahren, wie chemisch-mechanisches Polieren (CMP), einem Ätzen, einer Kombination von diesen oder dergleichen, gefüllt werden. In einer Ausführungsform entfernt das Entfernungsverfahren jedes dielektrische Material, das sich ebenfalls über den Rippen 107 befindet, so dass das Entfernen des dielektrischen Materials die Oberfläche der Rippen 107 weiteren Verarbeitungsschritten aussetzt.
  • Sobald die ersten Gräben 103 mit dem dielektrischen Material gefüllt wurden, kann das dielektrische Material dann von der Oberfläche der Rippen 107 weg vertieft werden. Das Vertiefen kann durchgeführt werden, um wenigstens einen Teil der Seitenwände der Rippen 107 neben der Oberseite der Rippen 107 freizulegen. Das dielektrische Material kann unter Verwendung einer Nassätzung durch Eintauchen der Oberseite der Rippen 107 in ein Ätzmittel, wie HF, vertieft werden, obwohl andere Ätzmittel, wie H2, und andere Verfahren, wie ein reaktives Ionenätzen, ein Trockenätzen mit Ätzmitteln Wie NH3/NF3, chemische Oxidentfernung oder trocken-chemische Reinigung verwendet werden können. Das dielektrische Material kann auf einen Abstand von der Oberfläche der Rippen 107 vertieft werden, um Rippenhöhen zwischen etwa 300 Å und etwa 700 Å auszubilden, beispielsweise etwa 500 Å. Zusätzlich kann das Vertiefen auch jedes übrig gebliebene dielektrische Material entfernen, das sich über den Rippen 107 befindet, um sicherzustellen, dass die Rippen 107 zur weiteren Bearbeitung freiliegend sind.
  • Wie der Fachmann erkennen wird, können jedoch die oben beschriebenen Schritte nur ein Teil des gesamten Verfahrensflusses sein, der verwendet wird, um das dielektrische Material zu füllen und zu vertiefen. Beispielsweise können Auskleidungsschritte, Reinigungsschritte, Glühschritte, Spaltfüllschritte, Kombinationen davon und dergleichen auch verwendet werden, um die ersten Gräben 103 auszubilden und mit dem dielektrischen Material zu füllen. Alle möglichen Verfahrensschritte sollen vollständig in dem Umfang der vorliegenden Ausführungsform eingeschlossen sein.
  • Nachdem die ersten Isolationsbereiche 105 ausgebildet wurden, können ein Dummy-Gatedielektrikum 109 und eine Dummy-Gateelektrode 111 über dem Dummy-Gatedielektrikum 109 über jeder der Rippen 107 ausgebildet werden. In einer Ausführungsform kann das Dummy-Gatedielektrikum 109 durch thermische Oxidation, chemische Dampfabscheidung, Sputtern oder irgendwelche anderen Verfahren ausgebildet werden, die im Stand der Technik bekannt sind und zur Herstellung eines Gatedielektrikums verwendet werden. Abhängig von der Technik der Gatedielektrikums-Ausbildung kann die Dicke des Dummy-Gatedielektrikums 109 auf der Oberseite der Rippen 107 sich von der Dicke des Gatedielektrikums an der Seitenwand der Rippen 107 unterscheiden.
  • Das Dummy-Gatedielektrikum 109 kann ein Material wie Siliziumdioxid oder Siliziumoxynitrid mit einer Dicke im Bereich von etwa 3 Angström bis etwa 100 Angström, beispielsweise etwa 10 Angström, umfassen. Das Dummy-Gatedielektrikum 109 kann aus einem Material mit hoher Permittivität (High-k) ausgebildet werden (z. B. mit einer Dielektrizitätskonstante von mehr als etwa 5), beispielsweise Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Hafniumoxid (HfO2), Hafniumoxynitrid (HfON) oder Zirkoniumoxid (ZrO2) oder Kombinationen davon mit einer gleichwertigen Oxidschichtdicke von etwa 0,5 Angström bis etwa 100 Angström, wie beispielsweise 10 Angström oder weniger. Zusätzlich kann jede Kombination von Siliziumdioxid, Siliziumoxynitrid und/oder High-k-Materialien auch für das Dummy-Gatedielektrikum 109 verwendet werden.
  • Die Dummy-Gateelektrode 111 kann ein leitfähiges Material umfassen, das aus einer Gruppe ausgewählt sein kann, die aus W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen von diesen oder dergleichen besteht. Die Dummy-Gateelektrode 111 kann durch chemische Gasphasenabscheidung (CVD), Sputterabscheidung oder andere Techniken abgeschieden werden, die im Stand der Technik für die Abscheidung von leitfähigen Materialien bekannt sind und verwendet werden. Die Dicke der Dummy-Gateelektrode 111 kann im Bereich von etwa 5 Å bis etwa 200 Å liegen. Die Oberseite der Dummy-Gateelektrode 111 kann nicht-planar sein und kann vor dem Strukturieren der Dummy-Gateelektrode 111 oder der Gate-Ätzung planarisiert werden. Ionen können an dieser Stelle in die Dummy-Gateelektrode 111 eingeführt werden, müssen aber nicht. Die Ionen können beispielsweise durch Ionenimplantationstechniken eingeführt werden.
  • Nachdem sie ausgebildet wurden, können das Dummy-Gatedielektrikum 109 und die Dummy-Gateelektrode 111 strukturiert werden, um eine Reihe von Gatestapeln 115 über den Rippen 107 auszubilden. Die Gatestapel 115 definieren mehrere Kanalbereiche, die sich auf beiden Seiten der Rippen 107 unter dem Dummy-Gatedielektrikum 109 befinden. Die Gatestapel 115 können durch Abscheiden und Strukturieren einer ersten Hartmaske 113 und einer zweiten Hartmaske 117 über dem Dummy-Gatedielektrikum 109 und der Dummy-Gateelektrode 111 ausgebildet werden. In einer Ausführungsform kann die erste Hartmaske 113 aus einem Material wie Siliziumnitrid bestehen, obwohl beliebige geeignete Maskierungsmaterialien wie Siliziumoxid, Siliziumoxynitrid, SiCON, SiC, SiOC auch verwendet werden können. Die erste Hartmaske 113 kann unter Verwendung eines Abscheidungsverfahrens, wie z. B. chemischer Dampfabscheidung oder physikalischer Dampfabscheidung, ausgebildet werden und kann mit einer Dicke zwischen etwa 50 Å und etwa 500 Å ausgebildet werden, beispielsweise 200 Å. Jedoch kann jedes geeignete Verfahren oder jede mögliche Dicke verwendet werden.
  • Die zweite Hartmaske 117 kann über der ersten Hartmaske 113 ausgebildet werden. In einer Ausführungsform kann die zweite Hartmaske 117 aus einem Material bestehen, das sich von dem der ersten Hartmaske 113 unterscheidet, beispielsweise Siliziumoxid, obwohl irgendwelche anderen geeigneten Materialien, wie Siliziumoxynitrid, SiCON, SiC, SiOC und/oder Siliziumnitrid, auch verwendet werden können. Zusätzlich kann die zweite Hartmaske 117 unter Verwendung eines Abscheidungsverfahrens, wie z. B. chemischer Dampfabscheidung oder physikalischer Dampfabscheidung, ausgebildet werden und kann mit einer Dicke zwischen etwa 200 Å und etwa 1000 Å ausgebildet werden, beispielsweise 600 Å. Jedoch kann jedes geeignete Verfahren oder jede mögliche Dicke verwendet werden.
  • Sobald die erste Hartmaske 113 und die zweite Hartmaske 117 ausgebildet wurden, kann die zweite Hartmaske 117 unter Verwendung von beispielsweise einem oder mehreren photolithographischen Maskierungs- und Ätzverfahren strukturiert werden. Sobald ferner die zweite Hartmaske 117 strukturiert wurde, kann die Struktur der zweiten Hartmaske 117 auf die erste Hartmaske 113, die Dummy-Gateelektrode 111 und das Dummy-Gatedielektrikum 109 unter Verwendung eines oder mehrerer Ätzverfahren übertragen werden, das die zweite Hartmaske 117 als Maskierungsmaterial nutzt. Jedoch kann jedes geeignete Verfahren verwendet werden.
  • Nachdem ein Gatestapel 115 ausgebildet wurde, können die Seitenwände des Gatestapels 115 durch Abscheiden von Materialien geschützt werden, um die Seitenwände des Gatestapels 115 zu bedecken. In einer Ausführungsform können die Seitenwände des Gatestapels 115 durch Abscheiden eines ersten Abstandsmaterials 119, eines zweiten Abstandsmaterials 121 und eines dritten Abstandsmaterials 123 geschützt werden. In einer Ausführungsform kann das erste Abstandsmaterial 119 ein Material wie z.B. Siliziumnitrid sein, das unter Verwendung eines konformen Verfahrens wie z. B. Atomlagenabscheidung ausgebildet wird, obwohl jedes geeignete Verfahren, wie z. B. chemische Dampfabscheidung oder physikalische Dampfabscheidung, ebenfalls verwendet werden kann. Das erste Abstandsmaterial 119 kann so abgeschieden werden, dass es eine Dicke zwischen etwa 10 Å und etwa 40 Å hat, beispielsweise 2 nm, obwohl jedes geeignete Verfahren verwendet werden kann. Nach dem Abscheiden kann das erste Abstandsmaterial 119 unter Verwendung von beispielsweise einem oder mehreren Ätzverfahren strukturiert werden.
  • Das zweite Abstandsmaterial 121 wird über dem ersten Abstandsmaterial 119 abgeschieden und kann ein Material sein, das sich von dem ersten Abstandsmaterial 119 unterscheidet, beispielsweise SiOCN, obwohl jedes andere geeignete Material wie SiCN oder SiOC alternativ verwendet werden kann. Das zweite Abstandsmaterial 121 kann unter Verwendung entweder eines konformen Abscheidungsverfahrens, wie z. B. Atomlagenabscheidung, oder anderer Abscheidungsverfahren, wie z. B. chemischer Dampfabscheidung oder physikalischer Dampfabscheidung, ausgebildet werden, um eine deckende Schicht aus dem zweiten Abstandsmaterials 121 auszubilden.
  • Sobald das zweite Abstandsmaterial 121 abgeschieden wurde, kann das zweite Abstandsmaterial 121 strukturiert werden. In einer Ausführungsform kann das zweite Abstandsmaterial 121 unter Verwendung von beispielsweise einem oder mehreren Ätzungen nach dem Abscheiden des zweiten Abstandsmaterials 121 strukturiert werden, um Teile des zweiten Abstandsmaterials 121 von den horizontalen Oberflächen der Struktur zu entfernen.
  • Sobald das erste Abstandsmaterial 119 und das zweite Abstandsmaterial 121 strukturiert wurden, kann das dritte Abstandsmaterial 123 ausgebildet werden. In einer Ausführungsform kann das dritte Abstandsmaterial 123 aus einem Material wie Siliziumnitrid bestehen, obwohl jedes andere geeignete Material verwendet werden kann. In einer Ausführungsform kann das dritte Abstandsmaterial 123 unter Verwendung eines Abscheidungsverfahrens wie chemischer Dampfabscheidung, physikalischer Dampfabscheidung oder Atomlagenabscheidung abgeschieden werden und dann kann das dritte Abstandsmaterial 123 durch eine oder mehrere Ätzungen strukturiert werden, um das dritte Abstandsmaterial 123 von den horizontalen Flächen der Struktur zu entfernen.
  • 2 zeigt einen ersten Schritt in einem Verfahren zum Entfernen eines Teils der Rippen 107 und Ausbildens erster Öffnungen 201 in den Rippen 107. In einer Ausführungsform kann das Entfernungsverfahren beginnen, indem eine Maske 203 angeordnet wird, um diejenigen Abschnitte der Rippe 107 (und gegebenenfalls des Gatestapels 115) zu bedecken, die nicht entfernt werden sollen. In einer Ausführungsform kann die Maske 203 aus einem lichtempfindlichen Material bestehen, beispielsweise einem Photoresist, das angeordnet, belichtet und entwickelt wurde, um die Maske 203 auszubilden. Jedoch kann jedes geeignete Maskenmaterial verwendet werden.
  • Sobald die Maske 203 am Ort ist, wird ein erstes Ätzverfahren verwendet (in 2 durch die mit 205 bezeichneten Pfeile gekennzeichnet), um die ersten Öffnungen 201 in den freiliegenden Abschnitten der Rippe 107 auszubilden. In einer Ausführungsform kann das erste Ätzverfahren 205 ein anisotropes Ätzverfahren sein, wie beispielsweise ein reaktives Ionenätzen (RIE) unter Verwendung eines Ätzmittels, das zum Ätzen des Materials der Rippe 107 geeignet ist. Als solches kann, während das gewählte Ätzmittel zumindest teilweise von dem Material der Rippe 107 abhängt, in einer Ausführungsform, in der die Rippe 107 Silizium aufweist, das Ätzmittel aus Arsen, Phosphor oder Bor in Kombination mit anderen möglicherweise erwünschten geeigneten Ätzmitteln oder Verdünnungsmitteln bestehen. Jedoch kann jedes geeignete Ätzmittel verwendet werden.
  • In einer bestimmten Ausführungsform kann das erste Ätzverfahren 205 durch Empfangen des Ätzmittels und Bilden eines Plasmas durchgeführt werden, bevor das Material der Rippe 107 das Ätzmittel kontaktiert. In einer bestimmten Ausführungsform kann das Ätzmittel in das Plasma in einer Ätzkammer (nicht separat in 2 gezeigt) gezündet werden, obwohl jedes geeignete Verfahren zum Bilden des Plasmas (einschließlich eines entfernten Plasmasystems) verwendet werden kann. Zusätzlich kann, sobald das Plasma gezündet wurde, das erste Ätzverfahren 205 bei einer Leistung zwischen etwa 150 W und etwa 550 W, beispielsweise 350 W, und einer Vorspannung zwischen etwa 60 V und etwa 180 V, beispielsweise 130 V, durchgeführt werden. Das erste Ätzverfahren 205 kann bei einer Temperatur zwischen etwa 30 °C und etwa 70 °C, beispielsweise 60 °C, und einem Druck zwischen etwa 3 mTorr und etwa 100 mTorr, beispielsweise 5 mTorr, fortfahren. Es können jedoch alle geeigneten Verfahrensbedingungen verwendet werden.
  • Durch die Verwendung des ersten Ätzverfahrens 205 wird die erste Öffnung 201 in der Rippe 107 ausgebildet. Insbesondere wird unter Verwendung eines anisotropen Ätzverfahrens für das erste Ätzverfahren 205 die erste Öffnung 201 mit einer „U“ -Form ausgebildet. Beispielsweise hat die erste Öffnung 201 eine erste Höhe H1 zwischen etwa 300 Å und etwa 700 A, beispielsweise 450 A, und auch eine erste Breite W1 zwischen etwa 100 Å und etwa 500 A, beispielsweise 200 Å. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 3A zeigt ein Entfernen der Maske 203 und ein zweites, ex-situ Ätzverfahren (in 3A durch die mit 303 bezeichneten Pfeile wiedergegeben), das verwendet wird, um die Form der ersten Öffnung 201 in eine zweite Öffnung 301 zu modifizieren (wobei die Form der ersten Öffnung 201 durch die mit 201 bezeichneten gestrichelten Linien zum Vergleich gezeigt ist). In einer Ausführungsform, bei der die Maske 203 ein Photoresist ist, kann die Maske 203 durch ein Veraschungsverfahren entfernt werden, in dem die Temperatur des Photoresists bis zu einem Punkt erhöht wird, an dem der Photoresist thermisch zersetzt wird. Die Maske 203 kann dann leicht entfernt werden. Jedoch kann jedes geeignete Verfahren verwendet werden, um die Maske 203 zu entfernen.
  • In einer Ausführungsform ist das zweite Ätzverfahren 303 ein isotropes Ätzverfahren, das Radikale von einem zweiten Ätzvorläufer 309 anstelle eines Plasmas verwendet (in 3A nicht gezeigt, aber unten mit Bezug auf 3B gezeigt und beschrieben), um das Material der Rippe 107 (z. B. Silizium) zu ätzen und die zweite Öffnung 301 durch Umformen der ersten Öffnung 201 auszubilden. In einer Ausführungsform kann der zweite Ätzvorläufer 309 so gewählt werden, dass er selektiv für das Material der Rippe 107 ist, ohne übermäßig mit dem Material der anderen freiliegenden Strukturen zu reagieren. Als solches kann, während das spezielle Material des zweiten Ätzvorläufers 309 zumindest teilweise von dem Material der Rippe 107 abhängen kann, in einer Ausführungsform, in der die Rippe 107 aus Silizium besteht, der zweite Ätzvorläufer 309 ein stickstoffhaltiges Gas wie Ammoniak (NH3) sein. Jedoch kann jeder andere geeignete Vorläufer, wie NF3 oder H2 verwendet werden.
  • 3B zeigt, dass zum Starten des zweiten Ätzverfahrens 303 die Rippe 107 (auf dem Substrat 101 liegend) in einer Ätzkammer 305 unterhalb einer selektiven Modulationsvorrichtung 307 angeordnet wird. In einer Ausführungsform kann die selektive Modulationsvorrichtung 307 ein elektrisch geladenes Gitter sein, das als Barriere für die Bewegung geladener Ionen aus einem Plasma wirkt, während ungeladene Plasmakomponenten (z. B. Radikale) durch die selektive Modulationsvorrichtung 307 hindurchtreten können. In einer Ausführungsform kann die selektive Modulationsvorrichtung 307 verhindern, dass die geladenen Plasma-Ionen hindurchgehen (z. B. positiv geladene Ionen oder negativ geladene Ionen), indem die geladenen Plasma-Ionen entweder zurückgestoßen oder angezogen werden. Jedoch kann jede geeignete Vorrichtung, die Radikale von einem Plasma trennen kann, verwendet werden.
  • Sobald das Substrat 101 mit der Rippe 107 in der Kammer platziert wurde, kann das zweite Ätzverfahren 303 durch Einführen des zweiten Ätzvorläufers 309 (z. B. NH3) in einen Plasmabereich 310 der Ätzkammer 305 begonnen werden. In einer Ausführungsform kann der zweite Ätzvorläufer 309 mit einer Durchflussrate von zwischen etwa 10 sccm und etwa 10000 sccm, beispielsweise 500 sccm, eingeführt werden. Jedoch kann jede geeignete Durchflussrate des zweiten Ätzvorläufers 309 verwendet werden.
  • Sobald der zweite Ätzvorläufer 309 in den Plasmabereich 310 der Ätzkammer 305 eingeführt wurde, wird der zweite Ätzvorläufer 309 erregt und gezündet, um ein Plasma 311 in dem Plasmabereich 310 zu bilden, das positive Ionen 311P, negative Ionen 311N und Radikalkomponenten 311R enthält. In einer Ausführungsform kann das Plasma 311 unter Verwendung von Hochfrequenzenergie bei einer Leistung von zwischen etwa 10 Watt und etwa 2500 Watt in der Ätzkammer 305 erzeugt werden, obwohl irgendwelche geeigneten Verarbeitungsbedingungen oder -verfahren zum Zünden des Plasmas verwendet werden können.
  • Zusätzlich ist, während das obige Verfahren mit Einführen in die Ätzkammer 305 und dann Zünden beschrieben wird, dies als eine beispielhafte Ausführungsform gedacht und soll nicht auf die Ausführungsformen einschränkend wirken. Vielmehr kann jedes geeignete Verfahren zur Bereitstellung eines Plasmas, wie z. B. die Verwendung eines entfernten Plasmasystems, auch verwendet werden. Alle derartigen Verfahren sollen vollständig in den Umfang der Ausführungsformen enthalten sein.
  • Sobald das Plasma 311 erzeugt wurde, erlaubt die selektive Modulationsvorrichtung 307, dass die radikalischen Komponenten 311R durch die selektive Modulationsvorrichtung 307 in einen Wafer-Bearbeitungsbereich 313 der Ätzkammer 305 gelangen, wo sich das Substrat 101 mit der Rippe 107 befindet. Zusätzlich verhindert die selektive Modulationsvorrichtung 307, dass die positiven Ionen 311P und auch die negativen Ionen 311N in den Wafer-Bearbeitungsbereich 313 der Ätzkammer 305 eindringen. Tatsächlich wird die selektive Modulationsvorrichtung 307 verwendet, um die positiven Ionen 311P und die negativen Ionen 311N aus dem Plasma 311 zu filtern, wodurch nur die radikalischen Komponenten 311R in dem zweiten Ätzverfahren 303 verwendet werden können.
  • In einer Ausführungsform kann die Ätzung nur mit Radikalen des zweiten Ätzverfahrens 303 bei einem Druck zwischen etwa 1 Torr und etwa 20 Torr, beispielsweise 2 Torr, und einer Temperatur zwischen etwa 10 °C und etwa 100 °C, beispielsweise 40 °C, durchgeführt werden. Zusätzlich kann das zweite Ätzverfahren 303 für eine Zeitdauer von zwischen etwa 5 s und etwa 100 s, beispielsweise 10 s, durchgeführt werden. Es können jedoch alle geeigneten Verfahrensbedingungen verwendet werden.
  • Durch das Durchführen des zweiten Ätzverfahrens 303 als radikalisches Ätzen anstelle eines Plasmaätzens werden nur neutrale Ionen mit einer kleineren Ladung als entweder die positiven Ionen 311P oder die negativen Ionen 311N verwendet, um die erste Öffnung 201 in die zweite Öffnung 301 umzuformen. Als solches gibt es eine geringere Rundung der ersten Öffnung 201 während des zweiten Ätzverfahrens 303, wenn der Abstand der Oberflächen der Rippe 107 von der „U“ -Form der ersten Öffnung 201 nach außen versetzt wird. Zusätzlich wird mit einer weniger runden Form weniger Material der Rippe 107 (z. B. Silizium) in ein Polymer-Nebenprodukt verwandelt, das andere Verfahren stört, wenn es nicht entfernt wird.
  • 3C hilft, diesen Vorteil zu veranschaulichen, und zeigt eine Nahaufnahme der Struktur von 3A in dem mit 401 bezeichneten gestrichelten Rechteck. Bei dieser Ausführungsform unterschneidet das Versetzen des Materials der Rippe 107 (z. B. das Versetzen des Siliziums) das dritte Abstandsmaterial 123 und bildet eine überhängende Auskragung aus (der Abstand zwischen der Spitze der Rippe 107 und der Außenkante des dritten Abstandsmaterials 123). Während vorhergehende Ätzverfahren (wie z. B. eine Plasmaätzung) eine Auskragung ausbilden, die nicht größer als 1 nm ist, kann die Verwendung des zweiten Ätzverfahrens 301, wie hierin beschrieben, eine überhängende Auskragung mit einem ersten Abstand D1 zwischen etwa 1 nm und etwa 4 nm ausbilden, während andere unerwünschte Nebenwirkungen minimiert werden.
  • Zusätzlich bildet, wieder im Hinblick auf 3A, das zweite hier beschriebene Ätzverfahren 301 eine weniger gerundete Form aus, als wenn Plasmaätzungen verwendet werden. Wenn insbesondere eine Bezugslinie an einer Außenfläche des Gatestapels 115 ausgerichtet wird (indem sie z. B. an einer Seite der Dummy-Gateelektrode 111 und/oder des Dummy-Gatedielektrikums 109 ausgerichtet wird), kann ein erster Abstand entlang der Oberseite des Materials der Rippe 107 von der Bezugslinie zu dem Material der Rippe 107 benachbart zu der zweiten Öffnung 301 gemessen werden, um eine Oberflächenabstandsmessung SP1 zu erhalten. In einer besonderen Ausführungsform kann die Oberflächenabstandsmessung SP1 zwischen etwa 20 Å und etwa 200 Å liegen, beispielsweise 50 Å. Jedoch kann jeder geeignete Abstand erhalten werden.
  • Zusätzlich kann ein zweiter Abstand in einer mittleren Höhe der Rippe 107 von der Bezugslinie zu dem Material um die zweite Öffnung 301 herum gemessen werden, um eine Kantenabstandsmessung TP1 zu erhalten. In einer Ausführungsform kann die Kantenabstandsmessung TP1 zwischen etwa 1 nm und etwa 4 nm liegen. Jedoch kann jeder geeignete Abstand erhalten werden.
  • Angesichts dieser beiden Abstände der Oberflächenabstandsmessung SP1 und der Kantenabstandsmessung TP1 kann eine Messung der Rundheit der zweiten Öffnung 301 durch die Differenz zwischen diesen beiden Messungen bestimmt werden. Beispielsweise kann in einer Ausführungsform eine Differenz zwischen der Oberflächenabstandsmessung SP1 und der Kantenabstandsmessung TP1 kleiner als etwa 3 nm sein. Dies ist eine Verbesserung gegenüber plasmabasierten Verfahren, die eine Differenz zwischen der Oberflächenabstandsmessung SP1 und der Kantenabstandsmessung TP1 von mehr als 3 nm aufweisen.
  • Zusätzlich ermöglicht die Verwendung des zweiten Ätzverfahrens 301, wie hierin beschrieben, eine stärkere Steuerung der Kanallänge in der Rippe 107. Beispielsweise kann eine Oberflächenkanallänge in der Rippe 107 (gemessen von einer ersten Flächenkante zu einer zweiten Flächenkante auf einer gegenüberliegenden Seite des Gatestapels 115) verkürzt werden und einen Abstand zwischen etwa 30 nm und etwa 40 nm aufweisen, was zu verbesserten Vorrichtungseigenschaften führt. Zusätzlich kann eine Kanallänge an der Kante (z. B. gemessen an der Hälfte der Höhe der Rippe 107) zwischen 20 nm und etwa 40 nm liegen. Jedoch können irgendwelche geeigneten Abstände verwendet werden.
  • 4 zeigt einen weiteren Vorteil der Verwendung des zweiten Ätzverfahrens 301, wie hierin beschrieben. Insbesondere zeigt 4 eine Mehrzahl von Rippen 107 (zur Vereinfachung gezeigt als eine einzelne Rippe 107, die sich zwischen zwei Bereichen erstreckt) in einem ersten Bereich 401 und einem zweiten Bereich 403 des Substrats 101. In einer Ausführungsform ist der erste Bereich 401 des Substrats 101 ein Bereich mit einer dichten Gruppe von Gatestapeln 115. Beispielsweise sind in dem ersten Bereich 401 des Substrats 101 die Gatestapel 115 (hierin zur Vereinfachung mit dem ersten Abstandsmaterial 119, dem zweiten Abstandsmaterial 121 und dem dritten Abstandsmaterial 123 als einer einzigen Abstandsstruktur 405 gezeigt) mit den Abstandsstrukturen 405 durch einen zweiten Abstand D2 von weniger als etwa 100 nm getrennt, beispielsweise zwischen etwa 20 nm und etwa 50 nm. Jedoch können irgendwelche geeigneten Abstände verwendet werden.
  • Zusätzlich kann der zweite Bereich 403 ein weniger dichter Bereich sein als der erste Bereich 401. Beispielsweise können innerhalb des zweiten Bereichs 403 die Gatestapel 115 mit ihren zugehörigen Abstandsstrukturen 405 durch einen dritten Abstand D3 getrennt sein, der größer als der zweite Abstand D2 ist, z. B. weniger als 100 nm. In einer bestimmten Ausführungsform liegt der dritte Abstand D3 zwischen etwa 100 nm und etwa 400 nm. Jedoch können irgendwelche geeigneten Abstände verwendet werden.
  • Unglücklicherweise beeinflussen die Unterschiede der Abstände zwischen den Strukturen in dem ersten Bereich 401 und den Strukturen in dem zweiten Bereich 403 auch das erste Ätzverfahren 205 und das zweite Ätzverfahren 303, so dass Öffnungen, die zwischen dichten Strukturen in dem ersten Bereich 401 ausgebildet sind, flacher als Öffnungen sind, die zwischen den weniger dichten Strukturen im zweiten Bereich 403 ausgebildet sind. Dieser Unterschied in der Tiefe der Öffnungen ist als Tiefenbelastung (engl. „depth loading“) der Struktur bekannt und eine große Tiefenbelastung kann die Idsat-Gleichförmigkeit (IDU/Intera) der Gesamtstruktur beeinträchtigen. [...]
  • Unter Verwendung des hier beschriebenen zweiten Ätzverfahrens 303 kann jedoch die Tiefenbelastung so eingestellt werden, dass ihr Einfluss minimiert wird. In einem bestimmten Beispiel, in dem die Gatestapel 115 und ihre entsprechenden Abstandsstrukturen 405 im ersten Bereich 401 um etwa 20 nm bis etwa 50 nm getrennt sind und die Gatestapel 115 und ihre zugehörigen Abstandsstrukturen 405 im zweiten Bereich 403 um etwa 100 bis etwa 400 nm getrennt sind, ermöglicht die Verwendung des zweiten Ätzverfahrens 303, dass sich die zweiten Öffnungen 301 in dem ersten Bereich 401 in die Rippe 107 um einen vierten Abstand D4 von zwischen etwa 30 nm und etwa 70 nm erstrecken, beispielsweise etwa 55 nm. Zusätzlich können sich die zweiten Öffnungen 301 in dem zweiten Bereich 403 in die Rippe 107 um einen fünften Abstand D5 zwischen etwa 30 nm und etwa 70 nm erstrecken, beispielsweise 50 nm. Jedoch können irgendwelche anderen geeigneten Abstände verwendet werden.
  • Angesichts der Tatsache, dass sich die zweiten Öffnungen 301 im ersten Bereich 401 um den vierten Abstand D4 in die Rippe 107 erstrecken und die zweiten Öffnungen 301 im zweiten Bereich 403 sich um den fünften Abstand D5 in die Rippe 107 erstrecken, ist die Tiefenbelastung zwischen dem zweiten Bereich 403 und dem ersten Bereich 401 die Differenz zwischen dem fünften Abstand D5 und dem vierten Abstand D4 (Tiefenbelastung = D5 - D4). In einigen Ausführungsformen ermöglicht die Verwendung des zweiten Ätzverfahrens 303 die Steuerung der Tiefenbelastung so, dass die Tiefenbelastung zwischen etwa -3 nm und etwa 3 nm gehalten wird. Dies ist viel besser als bei den plasmabasierten Ätzungen, die eine Tiefenbelastung von mehr als 5 nm erreichen, wie z. B. 10 nm, und ermöglicht eine verbesserte Tiefenbelastungssteuerung, die die Wafer-Annahmetests und die Vorrichtungsleistung für NMOSFETs und PMOSFETs verbessern kann (z. B. ist Ion verbessert).
  • 5 zeigt, dass, sobald die zweiten Öffnungen 301 innerhalb der Rippen 107 ausgebildet wurden, Source/Drain-Bereiche 501 gezüchtet werden können, um die zweiten Öffnungen 301 zu füllen und zu überfüllen. In einer Ausführungsform wird, um die Source/Drain-Bereiche 501 auszubilden, eine Hartmaske (nicht separat gezeigt) angeordnet und strukturiert, um die Dummy-Gateelektrode 111 zu bedecken, um ein Wachstum zu verhindern, und die Source/Drain-Bereiche 501 können in der zweiten Öffnungen 301 wieder gezüchtet werden. In einer Ausführungsform können die Source/Drain-Bereiche 501 wieder gezüchtet werden und in einigen Ausführungsformen können die Source/Drain-Bereiche 501 so wieder gezüchtet werden, dass ein Stressor ausgebildet wird, der den Kanalbereichen der unterhalb der Gatestapel 115 befindlichen Rippen 107 eine Belastung verleiht. In einer Ausführungsform, bei der die Rippen 107 Silizium umfassen und der FinFET eine p-Vorrichtung ist, können die Source/Drain-Bereiche 501 durch ein selektives Epitaxieverfahren mit einem Material wie Silizium oder auch einem Material wie Silizium-Germanium wieder gezüchtet werden, das eine andere Gitterkonstante als die Kanalbereiche hat. Das epitaktische Wachstumsverfahren kann Vorläufer wie Silan, Dichlorsilan, German und dergleichen verwenden und kann zwischen etwa 5 Minuten und etwa 120 Minuten dauern, beispielsweise 30 Minuten. In einer Ausführungsform können die Source/Drain-Bereiche 501 so ausgebildet sein, dass sie eine Höhe über den ersten Isolationsbereichen 105 zwischen etwa 20 nm und etwa 100 nm aufweisen, beispielsweise etwa 50 nm. Jedoch kann jede geeignete Höhe verwendet werden.
  • Sobald die Source/Drain-Bereiche 501 ausgebildet wurden, können Dotierstoffe in die Source/Drain-Bereiche 501 implantiert werden, indem geeignete Dotierstoffe implantiert werden, die zu den Dotierstoffen in den Rippen 107 komplementär sind. Beispielsweise können p-Dotierstoffe wie Bor, Gallium, Indium oder dergleichen implantiert werden, um eine PMOS-Vorrichtung auszubilden. Alternativ können n-Dotierstoffe wie Phosphor, Arsen, Antimon oder dergleichen implantiert werden, um eine NMOS-Vorrichtung auszubilden. Diese Dotierstoffe können unter Verwendung der Gatestapel 115 als Masken implantiert werden. Man beachte, dass ein Fachmann erkennen wird, dass viele andere Verfahren, Schritte oder dergleichen verwendet werden können, um die Dotierstoffe zu implantieren. Beispielsweise wird der Fachmann erkennen, dass eine Vielzahl von Implantierungen unter Verwendung verschiedener Kombinationen von Abstandshaltern und Auskleidungen durchgeführt werden kann, um Source/Drain-Bereiche auszubilden, die eine spezifische Form oder Charakteristik aufweisen, die für einen bestimmten Zweck geeignet sind. Jedes dieser Verfahren kann verwendet werden, um die Dotierstoffe zu implantieren, und die obige Beschreibung soll die vorliegenden Ausführungsformen nicht auf die oben gezeigten Schritte einschränken.
  • Zusätzlich wird an dieser Stelle die Hartmaske, die die Dummy-Gateelektrode 111 während des Ausbildens der Source/Drain-Bereiche 501 bedeckt, entfernt. In einer Ausführungsform kann die Hartmaske unter Verwendung eines nassen oder trockenen Ätzverfahrens entfernt werden, das selektiv für das Material der Hartmaske ist. Jedoch kann jedes geeignete Entfernungsverfahren verwendet werden.
  • 5 zeigt auch das Ausbilden einer Zwischenschichtdielektrikums-(ILD)-Schicht 503 über dem Gatestapel 115 und den Source/Drain-Bereichen 501 (in 5 gestrichelt gezeigt, um die darunter liegenden Strukturen klarer zu zeigen). Die ILD-Schicht 503 kann ein Material wie Borphosphorsilikatglas (BPSG) umfassen, obwohl irgendwelche geeigneten Dielektrika verwendet werden können. Die ILD-Schicht 503 kann durch ein Verfahren wie PECVD ausgebildet werden, obwohl andere Verfahren wie LPCVD alternativ verwendet werden können. Die ILD-Schicht 503 kann mit einer Dicke zwischen etwa 100 Å und etwa 3.000 Å ausgebildet werden. Sobald sie ausgebildet wurde, kann die ILD-Schicht 503 unter Verwendung von z. B. einem Planarisierungsverfahren, beispielsweise einem chemischmechanischen Polierverfahren, planarisiert werden, obwohl jedes geeignete Verfahren verwendet werden kann.
  • Nach dem Ausbilden der ILD-Schicht 503 kann das Material der Dummy-Gateelektrode 111 und des Dummy-Gatedielektrikums 109 entfernt und ersetzt werden, um einen Ersatz-Gatestapel 505 auszubilden. In einer Ausführungsform kann die Dummy-Gateelektrode 111 unter Verwendung von z.B. einem Nass- oder Trockenätzverfahren entfernt werden, das Ätzmittel verwendet, die selektiv für das Material der Dummy-Gateelektrode 111 sind. Jedoch kann jedes geeignete Entfernungsverfahren verwendet werden.
  • Sobald die Dummy-Gateelektrode 111 entfernt wurde, können die zurückgelassenen Öffnungen wieder aufgefüllt werden, um den Ersatz-Gatestapel 505 auszubilden. In einer besonderen Ausführungsform umfasst der Ersatz-Gatestapel 505 ein erstes dielektrisches Material 507, ein erstes Metallmaterial 509, ein zweites Metallmaterial 511 und ein drittes Metallmaterial 513. In einer Ausführungsform ist das erste dielektrische Material 507 ein High-k-Material wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HeO, LaO, ZrO, Ta2O5, Kombinationen davon oder dergleichen, das durch ein Verfahren wie Atomlagenabscheidung, chemische Dampfabscheidung oder dergleichen abgeschieden wird. Das erste dielektrische Material 507 kann mit einer Dicke zwischen etwa 5 Å und etwa 100 Å abgeschieden werden, obwohl jedes geeignete Material und jede Dicke verwendet werden können.
  • Das erste Metallmaterial 509 kann angrenzend an das erste dielektrische Material 507 ausgebildet werden und kann aus einem metallischen Material wie Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, anderen Metalloxiden, Metallnitriden, Metallsilikaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilikaten, Oxynitriden von Metallen, Metallaluminaten, Zirkoniumsilikaten, Zirkoniumaluminaten, Kombinationen davon oder dergleichen bestehen. Das erste Metallmaterial 509 kann unter Verwendung eines Abscheidungsverfahrens wie z. B. Atomlagenabscheidung, chemischer Dampfabscheidung, Sputtern oder dergleichen auf eine Dicke zwischen etwa 5 Å und etwa 200 Å abgeschieden werden, obwohl irgendein geeignetes Abscheidungsverfahren oder Dicke verwendet werden können.
  • Das zweite Metallmaterial 511 kann angrenzend an das erste Metallmaterial 509 ausgebildet werden und kann insbesondere von dem ersten Metallmaterial 509 verschieden oder gleich sein. Beispielsweise kann das zweite Metallmaterial 511 aus einem metallischen Material wie Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, anderen Metalloxiden, Metallnitriden, Metallsilikaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilikaten, Oxynitriden von Metallen, Metallaluminaten, Zirkoniumsilikaten, Zirkoniumaluminaten, Kombinationen davon oder dergleichen bestehen. Zusätzlich kann das zweite Metallmaterial 511 unter Verwendung eines Abscheidungsverfahrens wie z. B. Atomlagenabscheidung, chemischer Dampfabscheidung, Sputtern oder dergleichen auf eine Dicke zwischen etwa 5 Å und etwa 200 Å abgeschieden werden, obwohl irgendein geeignetes Abscheidungsverfahren oder Dicke verwendet werden kann.
  • Das dritte Metallmaterial 513 füllt einen Rest der durch die Entfernung der Dummy-Gateelektrode 111 zurückgelassenen Öffnung. In einer Ausführungsform ist das dritte Metallmaterial 513 ein metallisches Material wie W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen von diesen oder dergleichen und kann unter Verwendung eines Abscheidungsverfahrens wie z. B. Atomlagenabscheidung, chemischer Dampfabscheidung, Sputtern oder dergleichen abgeschieden werden, um die durch die Entfernung der Dummy-Gateelektrode 111 zurückgelassene Öffnung zu füllen und/oder zu überfüllen. In einer besonderen Ausführungsform kann das dritte Metallmaterial 513 auf eine Dicke zwischen etwa 5 Å und etwa 500 Å abgeschieden werden, obwohl jedes geeignete Material, Abscheidungsverfahren und Dicke verwendet werden können.
  • Sobald die Öffnung, die durch das Entfernen der Dummy-Gateelektrode 111 zurückgelassen wurde, gefüllt wurde, können die Materialien planarisiert werden, um jegliches Material zu entfernen, das außerhalb der Öffnung liegt, die durch das Entfernen der Dummy-Gateelektrode 111 zurückgeblieben ist. In einer besonderen Ausführungsform kann die Entfernung unter Verwendung eines Planarisierungsverfahrens wie chemisch-mechanischem Polieren durchgeführt werden. Jedoch kann jedes geeignete Planarisierungs- und Entfernungsverfahren verwendet werden.
  • Nachdem die Materialien des Ersatz-Gatestapels 505 ausgebildet und planarisiert wurden, können die Materialien des Ersatz-Gatestapels 505 vertieft und mit einer Deckschicht 515 abgedeckt werden. In einer Ausführungsform können die Materialien des Ersatz-Gatestapels 505 unter Verwendung von beispielsweise einem Nass- oder Trockenätzverfahren, das Ätzmittel verwendet, die für die Materialien des Ersatz-Gatestapels 505 selektiv sind, vertieft werden. Jedoch kann jedes geeignete Verfahren verwendet werden.
  • Sobald die Materialien des Ersatz-Gatestapels 505 vertieft wurden, kann eine Deckschicht 515 abgeschieden und planarisiert werden. In einer Ausführungsform besteht die Deckschicht 515 aus einem Material wie SiN, SiON, SiCON, SiC, SiOC, Kombinationen davon oder dergleichen, die unter Verwendung eines Abscheidungsverfahrens wie Atomlagenabscheidung, chemischer Dampfabscheidung, Sputtern oder dergleichen abgeschieden werden. Die Deckschicht 515 kann auf eine Dicke zwischen etwa 5 Å und etwa 200 Å abgeschieden und dann unter Verwendung eines Planarisierungsverfahrens wie chemisch-mechanischem Polieren planarisiert werden, so dass die Deckschicht 515 eben ist.
  • Sobald der Ersatz-Gatestapel 505 ausgebildet wurde, kann zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel können Kontakte zu den Source/Drain-Bereichen 501 durch die ILD-Schicht 503, zusätzliche dielektrische Schichten (nicht separat gezeigt) über der ILD-Schicht 503, Kontakte mit dem Ersatz-Gatestapel 505 und Metallisierungsschichten ausgebildet werden, um die verschiedenen Vorrichtungen miteinander zu verbinden. Es können beliebige geeignete zusätzliche Schritte verwendet werden, um die Herstellung der verschiedenen Ausführungsformen zu unterstützen, und alle derartigen Schritte sollen vollständig in dem Umfang der Ausführungsformen eingeschlossen sein.
  • Gemäß einer Ausführungsform ist ein Verfahren zur Herstellung einer Halbleitervorrichtung vorgesehen, das das Ausbilden eines Gatestapels über einem Halbleitersubstrat und das Ausbilden einer ersten Öffnung in dem Halbleitersubstrat mit einem ersten Ätzverfahren umfasst. Die erste Öffnung wird in eine zweite Öffnung unter Verwendung eines zweiten Ätzverfahrens umgeformt, der sich von dem ersten Ätzverfahren unterscheidet, wobei das zweite Ätzverfahren ein radikalisches Ätzverfahren ist
  • Gemäß einer weiteren Ausführungsform ist ein Verfahren zur Herstellung einer Halbleitervorrichtung vorgesehen, das das Ausbilden eines Dummy-Gatestapels über einer Halbleiterrippe eines Substrats umfasst, wobei der Dummy-Gatestapel eine Abstandsstruktur aufweist. Ein Teil der Rippe wird entfernt, um eine erste Öffnung auszubilden, wobei die erste Öffnung mit einem anisotropen Ätzverfahren ausgebildet wird. Die erste Öffnung wird in eine zweite Öffnung modifiziert, wobei das Modifizieren das Bilden eines Plasmas aus einem Vorläufer und das Richten von Radikalen aus dem Plasma zu der Halbleiterrippe umfasst, während geladene Teilchen aus dem Plasma so gefiltert werden, dass sie die Halbleiterrippe nicht erreichen.
  • Gemäß einer weiteren Ausführungsform ist eine Halbleitervorrichtung vorgesehen, die eine erste Halbleiterrippe über einem Substrat und einen Gatestapel über der ersten Halbleiterrippe umfasst. Ein erster Abstandshalter befindet sich auf einer Seitenwand des Gatestapels und eine erste Öffnung liegt in der ersten Halbleiterrippe und unterschneidet den ersten Abstandshalter, wobei die erste Öffnung eine Differenz der Oberflächenabstandsmessung zur Kantenabstandsmessung von weniger als 3 nm aufweist.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erfassen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden eines Gatestapels über einem Halbleitersubstrat; Ausbilden einer ersten Öffnung in dem Halbleitersubstrat mit einem ersten Ätzverfahren; und Umformen der ersten Öffnung in eine zweite Öffnung unter Verwendung eines zweiten Ätzverfahrens, das sich von dem ersten Ätzverfahren unterscheidet, wobei das zweite Ätzverfahren ein radikalisches Ätzverfahren ist.
  2. Verfahren nach Anspruch 1, wobei die erste Öffnung in einer Rippe des Halbleitersubstrats ausgebildet ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das radikalische Ätzverfahren ferner umfasst: Zünden eines Ätzvorläufers in ein Plasma; und Trennen von Radikalen aus dem Plasma.
  4. Verfahren nach Anspruch 3, wobei der Ätzvorläufer Ammoniak ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das erste Ätzverfahren ein reaktives Ionenätzen ist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das radikalische Ätzverfahren isotrop ist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die zweite Öffnung den Gatestapel um einen Abstand zwischen etwa 1 nm und etwa 4 nm unterschneidet.
  8. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden eines Dummy-Gatestapels über einer Halbleiterrippe eines Substrats, wobei der Dummy-Gatestapel eine Abstandsstruktur aufweist; Entfernen eines Teils der Rippe, um eine erste Öffnung auszubilden, wobei die erste Öffnung mit einem anisotropen Ätzverfahren ausgebildet wird; und Modifizieren der ersten Öffnung in eine zweite Öffnung, wobei das Modifizieren umfasst: Bilden eines Plasmas aus einem Vorläufer; und Richten von Radikalen aus dem Plasma zu der Halbleiterrippe, während geladene Teilchen aus dem Plasma so gefiltert werden, dass sie die Halbleiterrippe nicht erreichen.
  9. Verfahren nach Anspruch 8, wobei die Abstandsstruktur eine Auskragung aufweist, die über die Oberfläche der Halbleiterrippe nach dem Modifizieren um zwischen 1 nm und etwa 4 nm hervorsteht.
  10. Verfahren nach Anspruch 8 oder 9, wobei die zweite Öffnung eine Differenz zwischen einer Oberflächenabstandsmessung und einer Kantenabstandsmessung von weniger als 3 nm aufweist.
  11. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 10, wobei das Modifizieren der ersten Öffnung auch eine dritte Öffnung modifiziert, wobei die zweite Öffnung in einem ersten Bereich des Substrats angeordnet ist und die dritte Öffnung in einem zweiten Bereich des Substrats angeordnet ist und wobei eine Tiefenbelastung zwischen der zweiten Öffnung und der dritten Öffnung zwischen etwa -3 nm und etwa 3 nm liegt.
  12. Verfahren nach Anspruch 11, wobei der erste Bereich eine zweite Abstandsstruktur benachbart zu der ersten Abstandsstruktur umfasst, wobei die zweite Abstandsstruktur von der ersten Abstandsstruktur durch einen Abstand von weniger als 100 nm getrennt ist.
  13. Verfahren nach Anspruch 12, wobei der erste Bereich eine zweite Abstandsstruktur benachbart zu der ersten Abstandsstruktur umfasst, wobei die zweite Abstandsstruktur von der ersten Abstandsstruktur durch einen Abstand zwischen etwa 20 nm und etwa 50 nm getrennt ist.
  14. Verfahren nach Anspruch 12 oder 13, wobei der zweite Bereich eine dritte Abstandsstruktur benachbart zu der zweiten Öffnung und eine vierte Abstandsstruktur benachbart zu der dritten Abstandsstruktur aufweist, wobei die dritte Abstandsstruktur von der vierten Abstandsstruktur durch einen Abstand von zwischen etwa 100 nm und etwa 400 nm getrennt ist.
  15. Halbleitervorrichtung, umfassend: eine erste Halbleiterrippe über einem Substrat; einen Gatestapel über der ersten Halbleiterrippe; einen ersten Abstandshalter auf einer Seitenwand des Gatestapels; und eine erste Öffnung in der ersten Halbleiterrippe und den ersten Abstandshalter unterschneidend, wobei die erste Öffnung eine Differenz der Oberflächenabstandsmessung zur Kantenabstandsmessung von weniger als 3 nm aufweist.
  16. Halbleitervorrichtung nach Anspruch 15, wobei der erste Abstandshalter über der ersten Öffnung um zwischen etwa 1 nm und etwa 4 nm hervorsteht.
  17. Halbleitervorrichtung nach Anspruch 15 oder 16, wobei eine Oberflächenkanallänge zwischen etwa 30 nm und etwa 40 nm liegt.
  18. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 15 bis 17, wobei eine Kantenkanallänge zwischen etwa 20 nm und etwa 40 nm liegt.
  19. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 15 bis 18, die ferner eine zweite Öffnung in einer zweiten Halbleiterrippe über dem Substrat umfasst, wobei eine Tiefenbelastung zwischen der ersten Öffnung und der zweiten Öffnung zwischen etwa -3 nm und 3 nm liegt, wobei die erste Öffnung in einem ersten Bereich des Substrats mit einer ersten Dichte liegt und die zweite Öffnung in einem zweiten Bereich des Substrats mit einer zweiten Dichte liegt, die sich von der ersten Dichte unterscheidet.
  20. Halbleitervorrichtung nach Anspruch 19, die ferner einen zweiten Abstandshalter benachbart zu dem ersten Abstandshalter in dem ersten Bereich des Substrats umfasst, wobei der erste Abstandshalter von dem zweiten Abstandshalter einen Abstand zwischen etwa 20 nm und etwa 50 nm hat.
DE102017115111.7A 2017-06-30 2017-07-06 Verfahren zum Herstellen einer Halbleitervorrichtung Active DE102017115111B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/638,738 US10269940B2 (en) 2017-06-30 2017-06-30 Semiconductor device and method
US15/638,738 2017-06-30

Publications (2)

Publication Number Publication Date
DE102017115111A1 true DE102017115111A1 (de) 2019-01-03
DE102017115111B4 DE102017115111B4 (de) 2021-10-21

Family

ID=64662160

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017115111.7A Active DE102017115111B4 (de) 2017-06-30 2017-07-06 Verfahren zum Herstellen einer Halbleitervorrichtung

Country Status (5)

Country Link
US (5) US10269940B2 (de)
KR (1) KR102011939B1 (de)
CN (2) CN114664930A (de)
DE (1) DE102017115111B4 (de)
TW (1) TWI650805B (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9970830B2 (en) 2016-06-14 2018-05-15 International Business Machines Corporation Approach to measuring strain effects using ring oscillators
CN115692202A (zh) * 2018-05-09 2023-02-03 联华电子股份有限公司 半导体元件及其制作方法
US10707329B2 (en) * 2018-07-06 2020-07-07 International Business Machines Corporation Vertical fin field effect transistor device with reduced gate variation and reduced capacitance
US20200098920A1 (en) * 2018-09-24 2020-03-26 Qualcomm Incorporated Transistor gate structure
US11088262B2 (en) * 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US10720509B1 (en) * 2019-07-31 2020-07-21 Nanya Technology Corporation Method for preparing a semiconductor device structure with an annular semiconductor fin
US11764215B2 (en) * 2021-03-31 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US20220367187A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8299564B1 (en) * 2009-09-14 2012-10-30 Xilinx, Inc. Diffusion regions having different depths
US20140197493A1 (en) * 2013-01-17 2014-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20150140757A1 (en) * 2013-11-19 2015-05-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including an embedded stressor, and related apparatuses
US20160276437A1 (en) * 2015-03-12 2016-09-22 International Business Machines Corporation Asymmetric fet
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
KR101668097B1 (ko) 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US8216906B2 (en) * 2010-06-30 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing integrated circuit device with well controlled surface proximity
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9048190B2 (en) 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
CN103700593B (zh) * 2013-12-18 2016-02-17 北京大学 制备准soi源漏多栅器件的方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102178831B1 (ko) 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9412843B2 (en) * 2014-05-23 2016-08-09 International Business Machines Corporation Method for embedded diamond-shaped stress element
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
KR102480002B1 (ko) 2015-09-23 2022-12-22 삼성전자주식회사 반도체 소자 및 그 제조방법, 그리고 패턴 형성 방법
KR102374321B1 (ko) * 2015-10-14 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US9722079B2 (en) 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8299564B1 (en) * 2009-09-14 2012-10-30 Xilinx, Inc. Diffusion regions having different depths
US20140197493A1 (en) * 2013-01-17 2014-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20150140757A1 (en) * 2013-11-19 2015-05-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including an embedded stressor, and related apparatuses
US20160276437A1 (en) * 2015-03-12 2016-09-22 International Business Machines Corporation Asymmetric fet
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Widmann, D.; Mader, H.; Friedrich, H.: Technologie hochintegrierter Schaltungen, 2. Auflage, Berlin: Springer, 1996, S. 188-190. - ISBN 3-540-59357-8 *

Also Published As

Publication number Publication date
US11784242B2 (en) 2023-10-10
KR20190003283A (ko) 2019-01-09
CN109216456A (zh) 2019-01-15
US20190252529A1 (en) 2019-08-15
US11387351B2 (en) 2022-07-12
DE102017115111B4 (de) 2021-10-21
TWI650805B (zh) 2019-02-11
US20240030319A1 (en) 2024-01-25
CN109216456B (zh) 2022-04-19
US10665700B2 (en) 2020-05-26
KR102011939B1 (ko) 2019-08-26
US20200273969A1 (en) 2020-08-27
US20190006493A1 (en) 2019-01-03
CN114664930A (zh) 2022-06-24
US20220328662A1 (en) 2022-10-13
TW201905977A (zh) 2019-02-01
US10269940B2 (en) 2019-04-23

Similar Documents

Publication Publication Date Title
DE102017115111B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102016100022B4 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE102017117797B4 (de) Halbleitervorrichtung und Methoden der Herstellung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102017124226B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102020119099A1 (de) Halbleitervorrichtung und verfahren
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102019110533B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020104370B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102018130833B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102018116726A1 (de) Selektive High-k-Bildung in einem Gate-Last-Prozess
DE102018124815A1 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102019112519B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102018107721B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102017100414B4 (de) Halbleiterbauteil und verfahren
DE102021115177A1 (de) Nanofolien-feldeffekttransistorvorrichtung und verfahren zu deren ausbilden
DE102019110196A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017102012A1 (de) Halbleitervorrichtung und Verfahren
DE102023103305A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102021113257A1 (de) Halbleiterbauelement und Verfahren
DE102022107309A1 (de) Metallgate-finnenelektrodenstruktur und verfahren
DE102021100838A1 (de) Nanostruktur-feldeffekttransistorvorrichtung und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823800

Ipc: H01L0021823400

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final