DE102019112519B4 - Verfahren zur herstellung eines halbleiter-bauelements - Google Patents

Verfahren zur herstellung eines halbleiter-bauelements Download PDF

Info

Publication number
DE102019112519B4
DE102019112519B4 DE102019112519.7A DE102019112519A DE102019112519B4 DE 102019112519 B4 DE102019112519 B4 DE 102019112519B4 DE 102019112519 A DE102019112519 A DE 102019112519A DE 102019112519 B4 DE102019112519 B4 DE 102019112519B4
Authority
DE
Germany
Prior art keywords
gate
oxide
procedure
metal gate
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019112519.7A
Other languages
English (en)
Other versions
DE102019112519A1 (de
Inventor
Shu-Uei JANG
Chen-Huang HUANG
Ryan Chia-Jen Chen
Shiang-Bau Wang
Shu-Yuan Ku
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/400,418 external-priority patent/US10861746B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019112519A1 publication Critical patent/DE102019112519A1/de
Application granted granted Critical
Publication of DE102019112519B4 publication Critical patent/DE102019112519B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten:Herstellen eines Metallgates über einer Halbleiterfinne (107);Zertrennen des Metallgates in ein erstes Metallgate und ein zweites Metallgate, wobei nach dem Zertrennen des Metallgates ein Oxid auf einer Seitenwand des ersten Metallgates vorhanden ist;Entfernen des Oxids von der Seitenwand des ersten Metallgates;Füllen eines Bereichs zwischen dem ersten Metallgate und dem zweiten Metallgate mit einem dielektrischen Material (901), wobei das dielektrische Material in physischem Kontakt mit der Seitenwand des ersten Metallgates ist; undEntfernen eines Abstandshalters (113) von einer zweiten Seitenwand des ersten Metallgates nach dem Entfernen des Oxids von der Seitenwand, wobei durch das Entfernen des Abstandshalters (113) ein Hohlraum (1301) entsteht.

Description

  • Hintergrund der Erfindung
  • Halbleiter-Bauelemente kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie etwa Personal Computern, Mobiltelefonen, digitalen Kameras und anderen elektronischen Geräten. Halbleiter-Bauelemente werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturbreite ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturbreite reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten.
  • Aus der nachveröffentlichten Druckschrift DE 10 2018 128 193 A1 ist ein Verfahren zum Herstellen einer Halbleitervorrichtung bekannt, in dem ein Metallgate in zwei Metallgate-Abschnitten zertrennt wird, wobei nach dem Zertrennen des Metallgates eine dielektrische Schicht auf einer Seitenwand des ersten Metallgates vorhanden ist, die Siliziumnitrid enthält. Das Oxid wird alsdann von der Seitenwand des ersten Metallgates entfernt und ein Bereich zwischen den Metallgate-Abschnitten wird mit einem dielektrischen Material gefüllt.
  • Andere Verfahren im Zusammenhang mit einer Halbleitervorrichtung sind aus der US 2018 / 0 315 752 A1 , der US 2016 / 0 351 568 A1 und der US 2017 / 0 222 020 A1 bekannt
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung betrifft ein Verfahren zum Herstellen einer Halbleitervorrichtung gemäß jedem der Ansprüche 1,7 und 14. Bevorzugte Ausführungsformen der Erfindung werden in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 zeigt Metall-Gates über Halbleiterfinnen gemäß einigen Ausführungsformen.
    • Die 2A bis 2C zeigen Schnittansichten von 1, gemäß einigen Ausführungsformen.
    • Die 3A bis 3C zeigen die Abscheidung einer ersten Hartmaske und einer zweiten Hartmaske gemäß einigen Ausführungsformen.
    • Die 4A bis 4C zeigen die Platzierung und Strukturierung eines Fotoresists gemäß einigen Ausführungsformen.
    • Die 5A bis 5C zeigen die Strukturierung der zweiten Hartmaske gemäß einigen Ausführungsformen.
    • Die 6A bis 6C zeigen die Abscheidung eines Belags gemäß einigen Ausführungsformen.
    • Die 7A bis 7C zeigen eine resultierende Struktur nach einem Ätzprozess gemäß einigen Ausführungsformen.
    • Die 8A bis 8E zeigen einen Oxidentfernungsprozess gemäß einigen Ausführungsformen.
    • Die 9A bis 9C zeigen die Abscheidung eines Füllmaterials gemäß einigen Ausführungsformen.
    • Die 10A bis 10C zeigen einen Planarisierungsprozess gemäß einigen Ausführungsformen.
    • Die 11A bis 11C zeigen einen Aussparungsprozess gemäß einigen Ausführungsformen.
    • Die 12A bis 12C zeigen das Füllen der Aussparungen gemäß einigen Ausführungsformen.
    • Die 13A bis 13C zeigen das Entfernen von Abstandshaltern gemäß einigen Ausführungsformen.
    • Die 14A bis 14C zeigen einen Verkappungsprozess gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Nachstehend werden Ausführungsformen für ein Verfahren beschrieben, das zum Herstellen von Luft-Abstandshaltern in einem Metallgate-Schneideprozess verwendet wird. Die Ausführungsformen können jedoch für eine breite Palette von Anwendungen verwendet werden und sind nicht auf die hier beschriebenen Ausführungsformen beschränkt.
  • Kommen wir nun zu 1,in der eine perspektivische Darstellung eines Halbleiter-Bauelements 100, wie etwa eines FinFET-Bauelements, gezeigt ist. Bei einer Ausführungsform weist das Halbleiter-Bauelement 100 ein Substrat 101 mit darin hergestellten ersten Gräben 103 auf. Das Substrat 101 kann ein Siliziumsubstrat sein, aber es können auch andere Substrate verwendet werden, wie etwa ein Halbleiter auf Isolator (SOI), ein verspannter SOI und Siliziumgermanium auf Isolator. Das Substrat 101 kann ein p-Halbleiter sein, aber bei anderen Ausführungsformen kann es ein n-Halbleiter sein.
  • Die ersten Gräben 103 können als ein erster Schritt bei der schließlichen Herstellung von ersten Isolationsbereichen 105 erzeugt werden. Die ersten Gräben 103 können unter Verwendung einer Maskierungsschicht mit einem geeigneten Ätzprozess erzeugt werden. Die Maskierungsschicht kann zum Beispiel eine Hartmaske sein, die Siliziumnitrid aufweist, das mit einem Verfahren wie chemische Aufdampfung (CVD) abgeschieden wird, aber es können auch andere Materialien, wie etwa Oxide, Oxidnitride, Siliziumcarbid, Kombinationen davon oder dergleichen, und andere Verfahren verwendet werden, wie etwa plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD) oder sogar Siliziumoxid-Abscheidung mit anschließender Nitrierung. Nach ihrer Herstellung kann die Maskierungsschicht mit einem geeigneten fotolithografischen Verfahren strukturiert werden, um die Teile des Substrats 101 freizulegen, die später entfernt werden, um die ersten Gräben 103 zu erzeugen.
  • Wie ein Fachmann erkennen dürfte, sind die Verfahren und Materialien, die vorstehend zum Herstellen der Maskierungsschicht genannt worden sind, jedoch nicht die einzigen Verfahren, die zum Schützen von Teilen des Substrats 101 und zum gleichzeitigen Freilegen anderer Teile des Substrat 101 für die Erzeugung der ersten Gräben 103 verwendet werden können. Es kann jedes geeignete Verfahren, wie etwa das Strukturieren und Entwickeln eines Fotoresists, zum Freilegen von Teilen des Substrats 101 verwendet werden, die entfernt werden sollen, um die ersten Gräben 103 zu erzeugen. Alle diese Verfahren sollen vollständig innerhalb des Schutzumfangs der vorliegenden Ausführungsformen liegen.
  • Nachdem die Maskierungsschicht hergestellt und strukturiert worden ist, werden die ersten Gräben 103 in dem Substrat 101 erzeugt. Das freigelegte Substrat 101 kann mit einem geeigneten Verfahren wie reaktive Ionenätzung (RIE) entfernt werden, um die ersten Gräben 103 in dem Substrat 101 zu erzeugen, aber es kann jedes geeignete Verfahren verwendet werden. Bei einer Ausführungsform können die ersten Gräben 103 so erzeugt werden, dass sie eine erste Tiefe von weniger als etwa 500 nm, z. B. von etwa 250 nm, von der Oberfläche des Substrats 101 haben.
  • Wie ein Durchschnittsfachmann erkennen dürfte, ist das vorstehend beschriebene Verfahren zum Erzeugen der ersten Gräben 103 lediglich ein mögliches Verfahren, und es soll nicht die einzige Ausführungsform sein. Vielmehr kann jedes geeignete Verfahren, mit dem die ersten Gräben 103 erzeugt werden können, zum Einsatz kommen, und es kann jedes geeignete Verfahren mit jeder Anzahl von Maskierungs- und Entfernungsprozessen verwendet werden.
  • In dem Maskierungs- und Ätzprozess werden nicht nur die ersten Gräben 103 erzeugt, sondern es werden auch Finnen 107 aus den Teilen des Substrats 101 hergestellt, die nicht entfernt werden. Der Einfachheit halber sind die Finnen 107 in den Figuren als Finnen dargestellt, die durch eine Strichlinie von dem Substrat 101 getrennt sind, aber ein physischer Hinweis auf die Trennung kann vorhanden sein oder auch nicht. Diese Finnen 107 können zum Herstellen eines Kanalbereichs von Multi-Gate-FinFET-Transistoren verwendet werden, wie später dargelegt wird. In 1 sind zwar nur vier Finnen 107 dargestellt, die von dem Substrat 101 hergestellt werden, aber es kann jede Anzahl von Finnen 107 verwendet werden.
  • Die Finnen 107 können so hergestellt werden, dass sie eine Breite an der Oberfläche des Substrats 101 von etwa 5 nm bis etwa 80 nm, z. B. etwa 30 nm, haben. Außerdem können die Finnen 107 mit einem Abstand von etwa 10 nm bis etwa 100 nm, z. B. etwa 50 nm, voneinander beabstandet sein. Durch Beabstanden der Finnen 107 in dieser Weise können die Finnen 107 jeweils einen gesonderten Kanalbereich bilden, und dabei sind sie immer noch so dicht beieinander, dass sie ein gemeinsames Gate nutzen können (wie später näher dargelegt wird).
  • In der vorstehenden Beschreibung wird zwar eine beispielhafte Ausführungsform bereitgestellt, aber die Finnen können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen mit einem oder mehreren fotolithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die ansonsten mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Bei einer Ausführungsform wird eine Opferschicht über einem Substrat hergestellt, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann zum Strukturieren der Finnen verwendet werden.
  • Nachdem die ersten Gräben 103 und die Finnen 107 hergestellt worden sind, können die ersten Gräben 103 mit einem dielektrischen Material gefüllt werden, und das dielektrische Material kann in den ersten Gräben 103 ausgespart werden, um die ersten Isolationsbereiche 105 herzustellen. Das dielektrische Material kann ein Oxidmaterial, ein HDP-Oxid (HDP: Plasma hoher Dichte) oder dergleichen sein. Nach einer optionalen Reinigung und Auskleidung der ersten Gräben 103 kann das dielektrische Material durch chemische Aufdampfung (CVD) [z. B. mit einem Hohes-Seitenverhältnis-Prozess (HARP)], chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD) oder mit einem anderen geeigneten Verfahren, das auf dem Fachgebiet bekannt ist, abgeschieden werden.
  • Die ersten Gräben 103 können dadurch gefüllt werden, dass sie und das Substrat 101 mit dem dielektrischen Material überfüllt werden und anschließend das überschüssige Material außerhalb der ersten Gräben 103 und der Finnen 107 mit einem geeigneten Verfahren wie chemisch-mechanische Polierung (CMP), Ätzung, einer Kombination davon oder dergleichen entfernt wird. Bei einer Ausführungsform wird bei dem Entfernungsprozess auch dielektrisches Material entfernt, das sich über den Finnen 107 befindet, sodass durch das Entfernen des dielektrischen Materials die Oberfläche der Finnen 107 für weitere Bearbeitungsschritte freigelegt wird.
  • Nachdem die ersten Gräben 103 mit dem dielektrischen Material gefüllt worden sind, kann das dielektrische Material von der Oberfläche der Finnen 107 her ausgespart werden. Das Aussparen kann so durchgeführt werden, dass zumindest ein Teil der Seitenwände der Finnen 107, der zu der Oberseite der Finnen 107 benachbart ist, freigelegt wird. Das dielektrische Material kann mit einer Nassätzung durch Tauchen der Oberseite der Finnen 107 in ein Ätzmittel, wie etwa HF, ausgespart werden, aber es können auch andere Ätzmittel, wie etwa H2, und andere Verfahren verwendet werden, wie etwa eine reaktive Ionenätzung, eine Trockenätzung mit Ätzmitteln wie NH3/NF3, chemische Oxidentfernung oder chemische Trockenreinigung. Das dielektrische Material kann mit einem Abstand von der Oberfläche der Finnen 107 von etwa 5 nm bis etwa 100 nm, z. B. etwa 54 nm, ausgespart werden. Außerdem kann bei dem Aussparen auch verbliebenes dielektrisches Material über den Finnen 107 entfernt werden, um sicherzustellen, dass die Finnen 107 für die weitere Bearbeitung freiliegen.
  • Wie ein Durchschnittsfachmann erkennen dürfte, sind die vorstehend beschriebenen Schritte nur ein Teil des gesamten Prozessablaufs, der zum Füllen und Aussparen des dielektrischen Materials verwendet wird. Zum Beispiel können auch Auskleidungs-, Reinigungs-, Temper- und Spaltfüllungsschritte, Kombinationen davon und dergleichen zum Erzeugen und Füllen der ersten Gräben 103 mit dem dielektrischen Material verwendet werden. Alle diese möglichen Schritte sollen vollständig innerhalb des Schutzumfangs der vorliegenden Ausführungsformen liegen.
  • Nachdem die ersten Isolationsbereiche 105 hergestellt worden sind, können ein Dummy-Gate-Dielektrikum (oder Grenzflächen-Oxid), eine Dummy-Gate-Elektrode über dem Dummy-Gate-Dielektrikum und erste Abstandshalter 113 jeweils über den Finnen 107 hergestellt werden. Bei einer Ausführungsform kann das Dummy-Gate-Dielektrikum durch thermische Oxidation, chemische Aufdampfung, Sputtern oder mit anderen Verfahren hergestellt werden, die auf dem Fachgebiet zum Herstellen eines Gate-Dielektrikums bekannt sind und zum Einsatz kommen. In Abhängigkeit von dem Verfahren zur Herstellung des Gate-Dielektrikums kann die Dicke des Dummy-Gate-Dielektrikums auf den Oberseiten der Finnen 107 von der Dicke des Dummy-Gate-Dielektrikums auf den Seitenwänden der Finnen 107 verschieden sein.
  • Das Dummy-Gate-Dielektrikum kann ein Material wie Siliziumdioxid oder Siliziumoxidnitrid mit einer Dicke von etwa 0,3 nm bis etwa 10 nm, z. B. etwa 1 nm, sein. Das Dummy-Gate-Dielektrikum kann aus einem Material mit einer hohen Dielektrizitätskonstante (High-k-Material), das z. B. eine relative Permittivität von mehr als etwa 5 hat, wie etwa Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Hafniumoxid (HfO2), Hafniumoxidnitrid (HfON) oder Zirconiumoxid (ZrO2) oder Kombinationen davon, mit einer äquivalenten Oxiddicke von etwa 0,05 nm bis etwa 10 nm, z. B. etwa 1 nm oder weniger, bestehen. Außerdem kann auch eine Kombination aus Siliziumdioxid, Siliziumoxidnitrid und/oder High-k-Materialien für das Dummy-Gate-Dielektrikum verwendet werden.
  • Die Dummy-Gate-Elektrode kann ein leitfähiges Material aufweisen, das aus der Gruppe Polysilizium (z. B. ein Dummy-Polysilizium; DPO), W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen davon oder dergleichen gewählt werden kann. Die Dummy-Gate-Elektrode kann durch chemische Aufdampfung (CVD), Sputterdeposition oder mit anderen Verfahren abgeschieden werden, die auf dem Fachgebiet zum Abscheiden von leitfähigen Materialien bekannt sind und zum Einsatz kommen. Die Dicke der Dummy-Gate-Elektrode kann etwa 0,5 nm bis etwa 20 nm betragen. Die Oberseite der Dummy-Gate-Elektrode kann eine nicht-planare Oberseite sein, die vor der Strukturierung der Dummy-Gate-Elektrode oder der Gate-Ätzung planarisiert werden kann. An dieser Stelle können Ionen in die Dummy-Gate-Elektrode eingebracht werden oder auch nicht. Ionen können zum Beispiel mit Ionenimplantationsverfahren eingebracht werden.
  • Nach ihrer Herstellung können das Dummy-Gate-Dielektrikum und die Dummy-Gate-Elektrode strukturiert werden, um eine Reihe von Stapeln über den Finnen 107 herzustellen. Die Stapel definieren mehrere Kanalbereiche, die sich auf jeder Seite der Finnen 107 unter dem Dummy-Gate-Dielektrikum befinden. Die Stapel können auf der Dummy-Gate-Elektrode durch Abscheiden und Strukturieren einer Gate-Maske (in 1 nicht einzeln dargestellt) zum Beispiel mit Abscheidungs- und fotolithografischen Verfahren, die auf dem Fachgebiet bekannt sind, hergestellt werden. Für die Gate-Maske können allgemein übliche Maskierungs- und Opfermaterialien, unter anderem Siliziumoxid, Siliziumoxidnitrid, SiCON, SiC, SiOC und/oder Siliziumnitrid, verwendet werden, die mit einer Dicke von etwa 0,5 nm bis etwa 20 nm abgeschieden werden können. Die Dummy-Gate-Elektrode und das Dummy-Gate-Dielektrikum können mit einem Trockenätzprozess geätzt werden, um strukturierte Stapel herzustellen.
  • Nachdem die Stapel strukturiert worden sind, können die ersten Abstandshalter 113 hergestellt werden. Die ersten Abstandshalter 113 können auf gegenüberliegenden Seiten der Stapel hergestellt werden. Die ersten Abstandshalter 113 werden normalerweise durch Schutzabscheidung einer Abstandshalterschicht (in 1 nicht einzeln dargestellt) auf der zuvor hergestellten Struktur hergestellt. Die Abstandshalterschicht kann SiCON, SiN, Oxidnitrid, SiC, SiON, SiOC, Oxid und dergleichen aufweisen und kann mit Verfahren hergestellt werden, die zur Schichtherstellung verwendet werden, wie etwa chemische Aufdampfung (CVD), plasmaunterstützte CVD, Sputtern und anderen Verfahren, die auf dem Fachgebiet bekannt sind. Die Abstandshalterschicht kann ein anderes Material mit anderen Ätzeigenschaften als das dielektrische Material in den ersten Isolationsbereichen 105 oder kann das gleiche Material wie dieses aufweisen. Die ersten Abstandshalter 113 können dann zum Beispiel mit einer oder mehreren Ätzungen strukturiert werden, um die Abstandshalterschicht von den horizontalen Flächen der Struktur zu entfernen, sodass die ersten Abstandshalter 113 entstehen.
  • Bei einer Ausführungsform können die ersten Abstandshalter 113 mit einer Dicke von etwa 1 nm bis etwa 10 nm hergestellt werden. Außerdem kann nach der Herstellung der ersten Abstandshalter 113 ein erster Abstandshalter 113, der zu einem Stapel benachbart ist, durch einen ersten Abstand von etwa 5 nm bis etwa 50 nm, z. B. etwa 20 nm, von einem ersten Abstandshalter 113, der zu einem anderen Stapel benachbart ist, getrennt sein. Es können jedoch alle geeigneten Dicken und Abstände verwendet werden.
  • Nachdem die ersten Abstandshalter 113 hergestellt worden sind, können ein Entfernen der Finnen 107 von den Bereichen, die nicht durch die Stapel und die ersten Abstandshalter 113 geschützt sind, und ein erneutes Aufwachsen von Source-/Drain-Bereichen 117 durchgeführt werden. Das Entfernen der Finnen 107 von den Bereichen, die nicht durch die Stapel und die ersten Abstandshalter 113 geschützt sind, kann durch eine reaktive Ionenätzung (RIE) unter Verwendung der Stapel und der ersten Abstandshalter 113 als Hartmasken oder mit einem anderen geeigneten Entfernungsverfahren erfolgen. Das Entfernen kann so lange fortgesetzt werden, bis die Finnen 107 entweder planar mit der Oberfläche der ersten Isolationsbereiche 105 sind oder sich darunter befinden.
  • Nachdem diese Teile der Finnen 107 entfernt worden sind, wird eine Hartmaske (nicht einzeln dargestellt) so platziert und strukturiert, dass sie die Dummy-Gate-Elektrode bedeckt, um ein Aufwachsen darauf zu verhindern, und die Source-/Drain-Bereiche 117 können in Kontakt mit den einzelnen Finnen 107 erneut aufgewachsen werden. Bei einer Ausführungsform können die Source-/Drain-Bereiche 117 erneut aufgewachsen werden, und bei einigen Ausführungsformen können sie so aufgewachsen werden, dass ein Stressor entsteht, der den Kanalbereichen der Finnen 107, die sich unter den Stapeln befinden, eine mechanische Spannung verleiht. Bei einer Ausführungsform, bei denen die Finnen 107 Silizium aufweisen und der FinFET ein p-Bauelement ist, können die Source-/Drain-Bereiche 117 mit einem selektiven Epitaxieprozess mit einem Material wie Silizium, Siliziumgermanium oder Silizium-Phosphor, das eine andere Gitterkonstante als die Kanalbereiche hat, erneut aufgewachsen werden. Für den epitaxialen Aufwachsprozess können Vorläufer wie Silan, Dichlorsilan, Monogerman und dergleichen verwendet werden, und er kann etwa 5 min bis etwa 120 min, z. B. etwa 30 min, andauern. Bei anderen Ausführungsformen können die Source-/Drain-Bereiche 117 Materialien wie GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP oder Kombinationen davon oder dergleichen aufweisen.
  • Nachdem die Source-/Drain-Bereiche 117 hergestellt worden sind, können geeignete Dotanden, die Dotanden in den Finnen 107 komplementieren, in die Source-/Drain-Bereiche 117 implantiert werden. Zum Beispiel können p-Dotanden, wie etwa Bor, Gallium, Indium oder dergleichen, implementiert werden, um ein PMOS-Bauelement herzustellen. Alternativ können n-Dotanden, wie etwa Phosphor, Arsen, Antimon oder dergleichen, implantiert werden, um ein NMOS-Bauelement herzustellen. Diese Dotanden können unter Verwendung der Stapel und der ersten Abstandshalter 113 als Masken implantiert werden. Ein Durchschnittsfachmann dürfte erkennen, dass zahlreiche andere Verfahren, Schritte oder dergleichen zum Implantieren der Dotanden verwendet werden können. Ein Durchschnittsfachmann dürfte zum Beispiel erkennen, dass eine Mehrzahl von Implantationsprozessen unter Verwendung verschiedener Kombinationen von Abstandshaltern und Belägen zum Herstellen von Source-/Drain-Bereichen mit einer speziellen Form oder speziellen Eigenschaften, die für einen bestimmten Zweck geeignet sind, durchgeführt werden kann. Jeder dieser Prozesse kann zum Implantieren der Dotanden verwendet werden, und die vorstehende Beschreibung soll die vorliegenden Ausführungsformen nicht auf die hier dargestellten Schritte beschränken.
  • An dieser Stelle wird die Hartmaske entfernt, die die Dummy-Gate-Elektrode während der Herstellung der Source-/Drain-Bereiche 117 bedeckt hat. Bei einer Ausführungsform kann die Hartmaske z. B. mit einem Nass- oder Trockenätzprozess entfernt werden, der für das Material der Hartmaske selektiv ist. Es kann jedoch jedes geeignete Entfernungsverfahren verwendet werden.
  • 1 zeigt außerdem die Herstellung einer Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 119 (z. B. einer ILDo-Schicht, die in 1 mit Strichlinien dargestellt ist, um die tieferliegenden Strukturen besser darzustellen). Die ILD-Schicht 119 kann ein Material wie Siliziumoxid (SiO2) oder Borphosphorsilicatglas (BPSG) aufweisen, aber es können alle geeigneten Dielektrika verwendet werden. Die ILD-Schicht 119 kann mit einem Verfahren wie PECVD hergestellt werden, aber alternativ können andere Verfahren, wie etwa LPCVD, verwendet werden. Die ILD-Schicht 119 kann mit einer Dicke von etwa 10 nm bis etwa 300 nm hergestellt werden.
  • Nachdem die ILD-Schicht 119 hergestellt worden ist, kann sie planarisiert werden, um sie für die weitere Bearbeitung vorzubereiten. Bei einer Ausführungsform kann die ILD-Schicht 119 mit einem Planarisierungsverfahren wie chemisch-mechanische Polierung (CMP) planarisiert werden, sodass die ILD-Schicht 119 koplanar mit den ersten Abstandshaltern 113 ist. Es kann jedoch auch ein anderes geeignete Verfahren verwendet werden, wie etwa ein oder mehrere Ätzprozesse.
  • Nachdem die ILD-Schicht 119 planarisiert worden ist, um die darunter befindliche Dummy-Gate-Elektrode freizulegen, können die Dummy-Gate-Elektrode und das Dummy-Gate-Dielektrikum entfernt werden. Bei einer Ausführungsform können eine oder mehrere Ätzungen, wie etwa ein oder mehrere Nassätzprozesse, zum Entfernen der Dummy-Gate-Elektrode und des Dummy-Gate-Dielektrikums verwendet werden. Es kann jedoch jedes geeignete Entfernungsverfahren zum Einsatz kommen.
  • Nachdem die Dummy-Gate-Elektrode und das Gatedielektrikum entfernt worden sind, können Öffnungen, die zurückgeblieben sind, aufgefüllt werden, um einen Gatestapel 115 herzustellen. Bei einer speziellen Ausführungsform weist der Gatestapel 115 ein erstes dielektrisches Material, ein erstes metallisches Material, ein zweites metallisches Material und ein drittes metallisches Material auf. Bei einer Ausführungsform ist das erste dielektrische Material ein High-k-Material, wie etwa HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO oder Ta2O5, eine Kombination davon oder dergleichen, das mit einem Verfahren wie Atomlagenabscheidung, chemische Aufdampfung oder dergleichen abgeschieden wird. Das erste dielektrische Material kann mit einer Dicke von etwa 0,5 nm bis etwa 20 nm abgeschieden werden, aber es können jedes geeignete Material und jede geeignete Dicke verwendet werden.
  • Das erste metallische Material kann benachbart zu dem ersten dielektrischen Material abgeschieden werden und kann aus einem metallischen Material wie Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo oder WN, anderen Metalloxiden, Metallnitriden, Metallsilicaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilicaten, Oxidnitriden von Metallen, Metall-Aluminaten, Zirconiumsilicat, Zirconiumaluminat, Kombinationen davon oder dergleichen bestehen. Das erste metallische Material kann mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern oder dergleichen mit einer Dicke von etwa 0,5 nm bis etwa 20 nm abgeschieden werden, aber es können jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Das zweite metallische Material kann benachbart zu dem ersten metallischen Material abgeschieden werden und kann bei einer speziellen Ausführungsform dem ersten metallischen Material ähnlich sein. Das zweite metallische Material kann zum Beispiel aus einem metallischen Material wie Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo oder WN, anderen Metalloxiden, Metallnitriden, Metallsilicaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilicaten, Oxidnitriden von Metallen, Metall-Aluminaten, Zirconiumsilicat, Zirconiumaluminat, Kombinationen davon oder dergleichen bestehen. Außerdem kann das zweite metallische Material mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern oder dergleichen mit einer Dicke von etwa 0,5 nmÅ bis etwa 20 nm abgeschieden werden, aber es können jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Das dritte metallische Material füllt einen Rest der Öffnung, die durch das Entfernen der Dummy-Gate-Elektrode zurückbleibt. Bei einer Ausführungsform ist das dritte metallische Material ein metallisches Material wie W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co oder Ni, eine Kombination davon oder dergleichen, und es kann mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern oder dergleichen so abgeschieden werden, dass es die Öffnung, die durch das Entfernen der Dummy-Gate-Elektrode zurückbleibt, füllt und/oder überfüllt. Bei einer speziellen Ausführungsform kann das dritte metallische Material mit einer Dicke von etwa 0,5 nm bis etwa 50 nm abgeschieden werden, aber es können jedes geeignete Material, jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Nachdem die Öffnung, die durch das Entfernen der Dummy-Gate-Elektrode zurückbleibt, gefüllt worden ist, können die Materialien planarisiert werden, um Material zu entfernen, das sich außerhalb dieser Öffnung befindet. Bei einer speziellen Ausführungsform kann das Entfernen mit einem Planarisierungsprozess, wie etwa chemisch-mechanische Polierung, durchgeführt werden, bis die Gatestapel eine Höhe über den Finnen 107 von etwa 40 nm bis etwa 60 nm, z. B. etwa 49 nm, haben. Es können jedoch alle geeigneten Planarisierungs- und Entfernungsverfahren zum Einsatz kommen.
  • Die 2A bis 2C zeigen verschiedene Schnittansichten von 1 entlang den Linien A - A', B - B' und C - C'. Insbesondere zeigt 2A eine Schnittansicht, die entlang der Länge der Finnen 107 in einem ersten Bereich 201 des Substrats 101 erstellt ist, und sie zeigt die Gatestapel 115, die nach dem Entfernen der Dummy-Gate-Elektrode und des Dummy-Gate-Dielektrikums hergestellt werden. 2A ist außerdem vergrößert worden, um einen zweiten Bereich 203 des Substrats 101 zu zeigen, der einen Gatestapel 115 mit einer anderen Gatelänge hat. Bei einer Ausführungsform haben die Gatestapel 115 in dem ersten Bereich 201 eine erste Gatelänge GL1 von etwa 40 nm bis etwa 60 nm, z. B. etwa 50 nm, während die Gatestapel 115 in dem zweiten Bereich 203 eine zweite Gatelänge GL2 von etwa 100 nm bis etwa 300 nm, z. B. etwa 200 nm, haben. Es können jedoch alle geeigneten Gatelängen verwendet werden.
  • 2B zeigt eine Schnittansicht von 1 entlang der Linie B - B', in der sich die Finnen 107 unter den Gatestapeln 115 befinden. Wie zu erkennen ist, erstreckt sich ein einziger Gatestapel 115 über mehrere der Finnen 107 hinweg. Es sind zwar vier Finnen 107 dargestellt, aber diese Anzahl ist nur erläuternd und soll in keiner Weise beschränkend sein.
  • 2C zeigt eine Schnittansicht von 1 entlang der Linie C - C'. Diese Ansicht zeigt die Source-/Drain-Bereiche 117 in dem ersten Bereich 201 des Substrats 101. Es sind zwar vier dieser Source-/Drain-Bereiche 117 dargestellt, aber diese Anzahl ist nur erläuternd und soll in keiner Weise beschränkend sein.
  • Die 3A bis 3C zeigen die Herstellung eine ersten Hartmaske 301 und einer zweiten Hartmaske 303 über der Struktur. Bei einer Ausführungsform besteht die erste Hartmaske 301 aus einem Material wie Titannidrid oder Tantalnitrid, das mit einem Abscheidungsverfahren wie Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) oder physikalische Aufdampfung (PVD) abgeschieden wird. Die erste Hartmaske 301 kann mit einer Dicke von etwa 3 nm bis etwa 10 nm, z. B. etwa 5 nm, hergestellt werden. Es können jedoch jedes geeignete Material, jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Nachdem die erste Hartmaske 301 abgeschieden worden ist, kann die zweite Hartmaske 303 so abgeschieden werden, dass sie die erste Hartmaske 301 bedeckt. Bei einer Ausführungsform kann die zweite Hartmaske 303 aus einer einzigen Materialschicht oder andernfalls aus mehreren Materialschichten, wie etwa einer Doppelschicht, bestehen. Bei einer Ausführungsform kann eine oder jede Materialschicht ein Material wie Siliziumnitrid oder Silizium-Kohlenstoff-Nitrid (SiCN) aufweisen. Es kann jedoch jedes geeignete Material oder jede Kombination von Materialien verwendet werden.
  • Bei einer Ausführungsform, bei der die zweite Hartmaske 303 zwei Teilschichten umfasst, kann die erste Teilschicht mit einem Abscheidungsverfahren wie ALD, CVD oder PVD mit einer Dicke von etwa 30 nm bis etwa 40 nm, z. B. etwa 34 nm, abgeschieden werden. Auch die zweite Teilschicht kann mit einem Abscheidungsverfahren wie ALD, CVD oder PVD mit einer Dicke von etwa 30 nm bis etwa 40 nm, z. B. etwa 34 nm, abgeschieden werden. Es können jedoch jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Optional kann bei Bedarf nach der Herstellung der zweiten Hartmaske 303 eine Oberflächenbehandlung an der zweiten Hartmaske 303 durchgeführt werden, um die zweite Hartmaske 303 zu schützen und sie für die weitere Bearbeitung vorzubereiten. Bei einer Ausführungsform kann die Oberflächenbehandlung eine Reinigungsbehandlung sein, wie etwa eine Plasmabehandlung, bei der die Oberfläche der zweiten Hartmaske 303 mit einem Plasma von z. B. Argon, Stickstoff oder Sauerstoff oder einem Ar/N2/O2-Gasgemisch behandelt wird, um die Grenzflächenhaftung zwischen der zweiten Hartmaske 303 und darüber befindlichen Schichten zu verbessern. Es kann jedoch jede geeignete Oberflächenbehandlung verwendet werden.
  • Die 4A bis 4C zeigen, dass nach der optionalen Oberflächenbehandlung die zweite Hartmaske 303 strukturiert werden kann, um eine Maskierungsschicht für einen späteren Ätzprozess bereitzustellen. Bei einer Ausführungsform kann die Strukturierung der zweiten Hartmaske 303 damit begonnen werden, dass ein erstes Fotoresist 401 über der zweiten Hartmaske 303 platziert wird und das erste Fotoresist 401 dann belichtet und entwickelt wird, um die zweite Hartmaske 303 zu strukturieren. Bei einer Ausführungsform ist das erste Fotoresist 401 ein dreischichtiges Fotoresist mit einer BARC-Schicht 403 (BARC: unterer Antireflexbelag), einer Masken-Zwischenschicht 405 und einer oberen Fotoresistschicht 407. Es kann jedoch jede geeignete Art von lichtempfindlichem Material oder jede geeignete Kombination von Materialien verwendet werden.
  • Die 5A und 5B zeigen, dass nach dem Strukturieren des ersten Fotoresists 401 die Struktur des ersten Fotoresists 401 auf die zweite Hartmaske 303 übertragen wird. Bei einer Ausführungsform kann das Übertragen der Struktur z. B. mit einem anisotropen Ätzprozess, wie etwa einer reaktiven Ionenätzung, erfolgen. Es kann jedoch jedes geeignete Verfahren zum Einsatz kommen.
  • Nachdem die zweite Hartmaske 303 strukturiert worden ist, kann das erste Fotoresist 401 entfernt werden. Bei einer Ausführungsform kann die obere Fotoresistschicht 407 mit einem thermischen Verfahren wie Ablösung entfernt werden, bei dem die Temperatur der oberen Fotoresistschicht 407 erhöht wird, bis sie sich thermisch zersetzt und leicht entfernt werden kann. Nachdem die obere Fotoresistschicht 407 entfernt worden ist, können die Masken-Zwischenschicht 405 und die BARC-Schicht 403 mit einem oder mehreren Ätzprozessen entfernt werden.
  • Bei Bedarf kann während oder nach dem Entfernen des ersten Fotoresists 401 eine Nassreinigung durchgeführt werden. Bei einer Ausführungsform kann eine Lösung, wie etwa eine SC-1- oder eine SC-2-Reinigungslösung, verwendet werden, aber alternativ können auch andere Lösungen, wie etwa ein Gemisch aus H2SO4 und H2O2 (als SPM bekannt) oder eine Lösung von Fluorwasserstoff (HF), verwendet werden. Alle geeigneten Lösungen und Verfahren, die zum Einsatz kommen können, sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.
  • Die 6A bis 6C zeigen das Abscheiden einer Maskierungsschicht 601, das zum Einleiten eines Metallgate-Schneideprozesses verwendet wird. Bei einer Ausführungsform kann die Maskierungsschicht 601 aus einem Material bestehen, das dem Material der zweiten Hartmaske 303 ähnlich ist. Daher kann bei einer Ausführungsform, bei der die zweite Hartmaske 303 aus Siliziumnitrid besteht, die Maskierungsschicht 601 ebenfalls aus Siliziumnitrid bestehen. Die Maskierungsschicht 601 kann aber auch aus Materialien oder Zusammensetzungen bestehen, die von denen der zweiten Hartmaske 303 verschieden sind.
  • Bei einer Ausführungsform kann die Maskierungsschicht 601 mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern, Kombinationen davon oder dergleichen abgeschieden werden. Außerdem kann die Maskierungsschicht 601 mit einer Dicke von etwa 3 nm bis etwa 7 nm, z. B. etwa 5 nm, hergestellt werden. Es können jedoch jedes geeignete Abscheidungsverfahren und jede geeignete Dicke verwendet werden.
  • Die 7A bis 7C zeigen eine Fortsetzung des Metallgate-Schneideprozesses, der nach dem Abscheiden der Maskierungsschicht 601 durchgeführt werden kann. Bei einer Ausführungsform kann ein unterer Teil der Maskierungsschicht 601 in einem anisotropen Ätzprozess entfernt werden. Vertikale Teile der Maskierungsschicht 601 verbleiben in der Öffnung, und die Weite der Öffnung wird durch die verbliebenen Teile der Maskierungsschicht 601 reduziert. Dann werden die darunter befindliche erste Hartmaske 301 und der Gatestapel 115 geätzt, um einen Graben 701 zu erzeugen, der zunächst bis zu einem Zwischenniveau des Gatestapels 115 reicht. Die ersten Abstandshalter 113 und die freiliegenden Teile der ILD-Schicht 119 werden ebenfalls geätzt.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung wird die Ätzung unter Verwendung von Prozessgasen durchgeführt, die unter anderem aus der Gruppe Cl2, BCl3, Ar, CH4 und CF4 und Kombinationen davon gewählt sind. Die Ätzung der Gatestapel 115 kann mit einem Druck von etwa 0,33 Pa (2,5 mTorr) bis etwa 3,33 Pa (25 mTorr) erfolgen. Bei der Hauptätzung wird eine Hochfrequenzleistung verwendet, die etwa 250 W bis etwa 2500 W betragen kann. Außerdem kann eine Vorspannung von etwa 25 V bis etwa 750 V angelegt werden. Die Ätzung kann beendet werden, wenn sich die Unterseite des Grabens 701 auf einem Zwischenniveau zwischen einer Oberseite und einer Unterseite des Gatestapels 115 befindet.
  • Anschließend wird ein zweiter Abscheidungsprozess durchgeführt, um die Maskierungsschicht 601 erneut herzustellen. Bei dem zweiten Abscheidungsprozess zum erneuten Herstellen der Maskierungsschicht 601 werden Seitenwandteile auf den Seitenwänden des Grabens 701 zum Schützen der Seitenwände verwendet, sodass die oberen Teile des Grabens 701 nicht seitlich ausgedehnt werden, wenn der Graben 701 nach unten verlängert wird. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der zweite Abscheidungsprozess zum erneuten Herstellen der Maskierungsschicht 601 unter Verwendung von Prozessgasen wie SiCl4, O2, Ar und dergleichen durchgeführt. Daher enthält die resultierende Maskierungsschicht 601, statt z. B. Siliziumnitrid, SiO2, das mit weiteren Elementen, wie etwa Kohlenstoff, gemischt werden kann oder auch nicht. Die resultierende Maskierungsschicht 601 kann mit einer Dicke von etwa 2 nm bis etwa 4 nm, z. B. etwa 3 nm, hergestellt werden.
  • Dann wird ein Dielektrikum-Unterbrechungsprozess durchgeführt, sodass der untere Teil der erneut hergestellten Maskierungsschicht 601 an der Unterseite des Grabens 701 in einem anisotropen Ätz-/Beschussprozess entfernt wird. Bei einigen Ausführungsformen wird ein Kohlenstoff-Fluor-Gas (wie etwa C4F6) zum Ätzen des unteren Teils der zweiten Maskierungsschicht verwendet. Während des Ätzprozesses kann die Dicke des Teils der Maskierungsschicht 601 auf der Oberseite der Maskierungsschicht 601 reduziert werden. Die Dicke der Teile der Maskierungsschicht 601 auf den Seitenwänden des Grabens 701 kann während des Ätzprozesses ebenfalls reduziert werden.
  • Ein weiterer Ätzprozess wird durchgeführt, um den Graben 701 tiefer in den Gatestapel 115 zu verlängern. Die Ätzung wird mit einem geeigneten Ätzgas in Abhängigkeit von dem Material des geätzten Teils des Gatestapels 115 durchgeführt. Bei einigen Ausführungsformen kann ein Polymer, wie etwa CxHy (wobei x und y ganze Zahlen sind), auf der Unterseite der Öffnung abgeschieden werden. Anschließend kann das Polymer zum Beispiel unter Verwendung von Sauerstoff (O2) entfernt werden.
  • Bei einigen Ausführungsformen umfasst die Ätzung des Gatestapels 115 eine Mehrzahl von Abscheidungs-Ätz-Zyklen, die jeweils einen Dielektrikum-Abscheidungsprozess, einen Dielektrikum-Unterbrechungsprozess, einen Ätzprozess zum Verlängern des Grabens 701 nach unten und gegebenenfalls einen Polymer-Entfernungsprozess umfassen. Die Abscheidungs-Ätz-Zyklen führen jeweils dazu, dass der Graben 701 weiter nach unten verlängert wird, bis der Gatestapel 115 durchgeätzt ist und der Graben 701 bis in den ersten Isolationsbereich 105 hinein reicht. Bei einigen Ausführungsformen kann der Prozess zwei- bis zehnmal wiederholt werden, aber es kann jede Anzahl von Wiederholungen verwendet werden. Die resultierende Struktur ist in den 7A bis 7C gezeigt. Nach dem letzten Ätzprozess wird keine weitere dielektrische Schicht abgeschieden, und somit sind in 7B die unteren Enden der Maskierungsschicht 601 höher als die Unterseite des Grabens 701. Falls sich eine Polymerschicht in dem Graben 701 befindet, wird diese entfernt.
  • Nachdem der Metallgate-Schneideprozess beendet worden ist, können mit einem optionalen Spülprozess verbliebene Ablagerungen oder Reagenzien entfernt werden. Bei einer Ausführungsform kann eine Spülung unter Verwendung eines Materials wie vollentsalztes Wasser durchgeführt werden, das in Kontakt mit der Struktur gebracht wird. Es kann jedoch jedes geeignete Spülverfahren verwendet werden.
  • Die 8A bis 8C zeigen das Entfernen des Siliziumoxids in den Resten der Maskierungsschicht 601. Bei einer Ausführungsform kann das Entfernen des Siliziumoxids aus der Maskierungsschicht 601 durch Einleiten von Fluorwasserstoff (HF) und Ammoniak (NH3) als Ätzmittel für die Maskierungsschicht 601 erfolgen. HF und NH3 können miteinander und mit dem Oxid reagieren, das sich in der Maskierungsschicht 601 befindet, sodass (NH4)2SiF6 auf einer Oberfläche der Maskierungsschicht 601 entsteht. Bei einer speziellen Ausführungsform können der Fluorwasserstoff und das Ammoniak mit einem Durchflussverhältnis von etwa 1: 5 bis etwa 5 : 1 in die Reaktionskammer eingeleitet werden (wobei in 8D Ergebnisse für ein Durchflussverhältnis von 5 : 1 gezeigt sind und niedrigere Bereiche zu einer unvollständigen Reaktion führen), und zum Beispiel wird der Fluorwasserstoff mit einem Durchsatz von etwa 100 Ncm3/min bis etwa 800 Ncm3/min, z. B. etwa 200 Ncm3/min, in die Reaktionskammer eingeleitet wird, während das Ammoniak mit einem Durchsatz von etwa 50 Ncm3/min bis etwa 300 Ncm3/min, z. B. etwa 100 Ncm3/min, in die Reaktionskammer eingeleitet wird. Es können jedoch alle geeigneten Durchsätze und Durchflussverhältnisse verwendet werden.
  • Unter diesen Bedingungen kann die Prozesstemperatur so gewählt werden, dass sie die Selektivität der Reaktion erhöht. Wie in 8D gezeigt ist, kann die Temperatur des Prozesses auf etwa 30 °C bis etwa 120 °C eingestellt werden, wobei eine Temperatur von mehr als 110 °C, z. B. etwa 115 °C bis etwa 120°C, dazu führt, dass die Aktivierungsenergien des Ätzprozesses und somit seine Selektivität so modifiziert werden, dass in erster Linie das vorhandene Oxid geätzt wird, während das umgebende Material (z. B. Siliziumnitrid) nur minimal entfernt wird. Es kann jedoch jede geeignete Temperatur gewählt werden.
  • In ähnlicher Weise kann unter diesen Bedingungen der Prozessdruck so gewählt werden, dass er die Selektivität der Reaktion erhöht. Wie in 8E gezeigt ist, kann der Druck des Prozesses auf etwa 13,33 Pa (0,1 Torr) bis etwa 666,61 Pa (5 Torr) eingestellt werden, wobei ein Druck von weniger als 333,31 Pa (2,5 Torr), z. B. von etwa 199,98 Pa (1,5 Torr) bis etwa 266,65 (2 Torr), dazu führt, dass die Selektivität des Ätzprozesses so modifiziert wird, dass in erster Linie das vorhandene Oxid geätzt wird, während das umgebende Material (z. B. Siliziumnitrid) nur minimal entfernt wird. Es kann jedoch jeder geeignete Druck gewählt werden.
  • Um zu bestimmen, wann die Reaktion beendet werden soll, kann eine Zeitsteuerung des Prozesses durchgeführt werden. Bei einigen Ausführungsformen kann die Reaktion etwa 1 s bis etwa 5 s, z. B. etwa 2 s, andauern. Es können jedoch jede geeignete Dauer und jede geeignete Methode zum Entscheiden, wann der Ätzprozess beendet werden soll, verwendet werden.
  • Wenn die Reaktion beendet ist, kann die Maskierungsschicht 601 mit einem Temperprozess erwärmt werden, um das (NH4)2SiF6 zu entfernen, wodurch das Siliziumoxid aus der Maskierungsschicht 601 entfernt wird. Durch die Wärme kann das (NH4)2SiF6 thermisch zu N2, H2O, SiF4 und NH3 zerfallen, die alle als Dampf vorliegen können und durch den Temperprozess von der Oberfläche der Maskierungsschicht 601 entfernt werden können. Bei einer Ausführungsform des Temperprozesses kann die Maskierungsschicht 601 für etwa 60 s bis etwa 180 s auf eine Temperatur von etwa 80 °C bis etwa 200 °C, z. B. auf etwa 100 °C, erwärmt werden, um das (NH4)2SiF6 aus der Maskierungsschicht 601 zu entfernen.
  • Nachdem das (NH4)2SiF6 entfernt worden ist, liegt die Maskierungsschicht 601 wieder frei und kann weiterbearbeitet werden. Bei einer Ausführungsform kann ein zweiter Ätzprozess, der dem vorstehend beschriebenen ersten Ätzprozess ähnlich sein kann, durchgeführt werden, um verbliebenes Siliziumoxid zu entfernen. Wie ein Durchschnittsfachmann erkennen dürfte, sollen jedoch die genaue Art des Ätzprozesses, die Anzahl von Durchläufen des CERTAS®-Prozesses und die Prozessparameter für den Ätzprozess, die vorstehend genannt worden sind, nur erläuternd sein, da jede Anzahl von Durchläufen und beliebige Prozessparameter verwendet werden können.
  • Optional kann nach dem Entfernen des Oxids eine zweite Nassreinigung durchgeführt werden, um die Struktur für die spätere Bearbeitung vorzubereiten. Bei einer Ausführungsform kann eine Lösung, wie etwa eine SC-1- oder eine SC-2-Reinigungslösung, verwendet werden, aber alternativ können auch andere Lösungen, wie etwa ein Gemisch aus H2SO4 und H2O2 (als SPM bekannt) oder eine Lösung von Fluorwasserstoff (HF), verwendet werden. Alle geeigneten Lösungen und Verfahren, die zum Einsatz kommen können, sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.
  • Die 9A und 9B zeigen das Füllen der Gräben 701 mit einem Füllmaterial 901, um die Trennung des Gatestapels 115 fertigzustellen. Bei einer Ausführungsform kann das Füllmaterial 901 aus einer einzigen Materialschicht oder andernfalls aus mehreren Materialschichten, wie etwa einer Doppelschicht, bestehen. Bei einer Ausführungsform kann eine oder jede Materialschicht ein Material wie Siliziumnitrid oder Silizium-Kohlenstoff-Nitrid (SiCN) aufweisen. Es kann jedoch jedes geeignete Material oder jede Kombination von Materialien verwendet werden.
  • Bei einer Ausführungsform kann das Füllmaterial 901 mit einem Abscheidungsverfahren wie ALD, CVD oder PVD mit einer Dicke von etwa 20 nm bis etwa 30 nm, z. B. etwa 25 nm, abgeschieden werden. Es können jedoch jedes geeignete Abscheidungsverfahren und jede geeignete Dicke für das Füllmaterial 901 verwendet werden.
  • Die 10A bis 10C zeigen das Planarisieren des Füllmaterials 901 und das Entfernen der ersten Hartmaske 301. Bei einer Ausführungsform kann die Planarisierung ein Prozess wie chemisch-mechanische Polierung sein, der zum Planarisieren des Füllmaterials 901 mit den Gatestapeln 115 und den ersten Abstandshaltern 113 verwendet wird. Während des Prozesses wird auch die erste Hartmaske 301 entfernt.
  • Außerdem kann die chemisch-mechanische Polierung, die zum Entfernen von überschüssigem Füllmaterial 901 verwendet wird, an dieser Stelle auch zum Reduzieren der Höhe der Gatestapel 115 verwendet werden. Bei einer Ausführungsform kann die Höhe der Gatestapel 115 über den Finnen 107 auf etwa 20 nm bis etwa 40 nm reduziert werden. Es kann jedoch jede geeignete Reduzierung der Höhe verwendet werden.
  • Die 11A bis 11C zeigen das Aussparen der ILD-Schicht 119 zum Erzeugen von Aussparungen 1101 in Vorbereitung auf die Herstellung eines dielektrischen Helms. Bei einer Ausführungsform kann die ILD-Schicht 119 mit einem oder mehreren Ätzprozessen, wie etwa einem Nassätzprozess, mit einer Tiefe von etwa 10 nm bis etwa 30 nm, z. B. etwa 20 nm, ausgespart werden. Es kann jedoch jede geeignete Tiefe verwendet werden.
  • Die 12A bis 12C zeigen das Abscheiden eines Helmmaterials 1201 und einen nachfolgenden Planarisierungsprozess. Bei einer Ausführungsform kann das Helmmaterial 1201 ein dielektrisches Material, wie etwa Siliziumoxidcarbid (SiOC) oder Silizium, sein, und das Helmmaterial 1201 kann mit einem Abscheidungsverfahren wie chemische Aufdampfung, Atomlagenabscheidung oder Sputtern abgeschieden werden, um die Aussparungen, die durch das Aussparen der ILD-Schicht 119 entstanden sind, zu füllen oder zu überfüllen. Es können jedoch alle geeigneten Materialien und Abscheidungsverfahren verwendet werden.
  • Nach der Abscheidung des Helmmaterials 1201 wird eine Planarisierung des Helmmaterials 1201 durchgeführt wird. Bei einer Ausführungsform kann die Planarisierung eine chemisch-mechanische Polierung sein, die zum Planarisieren des Helmmaterials 1201 mit dem Gatestapel 115 und den ersten Abstandshaltern 113 verwendet wird.
  • Außerdem kann die chemisch-mechanische Polierung, die zum Planarisieren des Helmmaterials 1201 verwendet wird, an dieser Stelle auch zum Reduzieren der Höhe der Gatestapel 115 verwendet werden. Bei einer Ausführungsform kann die Höhe der Gatestapel 115 über den Finnen 107 auf etwa 20 nm bis etwa 30 nm reduziert werden. Es kann jedoch jede geeignete Reduzierung der Höhe verwendet werden.
  • Die 13A bis 13C zeigen das Entfernen der ersten Abstandshalter 113 und das Erzeugen eines Hohlraums 1301 um die Gatestapel 115. Bei einer Ausführungsform kann ein Fotoresist so platziert und strukturiert werden, dass es die Bereiche schützt, in denen keine Entfernung gewünscht wird, und dann können mit einem oder mehreren Ätzprozessen die ersten Abstandshalter 113 teilweise oder vollständig entfernt werden und Hohlräume 1301 dort erzeugt werden, wo sich früher die ersten Abstandshalter 113 befunden haben. Bei einer speziellen Ausführungsform kann ein Nassätzprozess, der für das Material oder die Materialien der ersten Abstandshalter 113 selektiv ist, verwendet werden, um die ersten Abstandshalter 113 zu entfernen, ohne die umgebenden Materialien zu entfernen.
  • Da jedoch Rest-Sauerstoff entlang den Seitenwänden der Gatestapel 115 bereits vor dem Füllen der Gräben entfernt worden ist (wie vorstehend unter Bezugnahme auf die 8A bis 8E dargelegt worden ist), ist dieser Sauerstoff während des Entfernens der ersten Abstandshalter 113 nicht vorhanden. Insbesondere wird in früheren Prozessen, in denen der Rest-Sauerstoff zwischen dem Füllmaterial 901 und der ILD-Schicht 119 noch vorhanden ist, durch das Ätzen und Entfernen der ersten Abstandshalter 113 auch der Rest-Sauerstoff angegriffen und entfernt, sodass ein Weg zwischen dem Füllmaterial 901 und der ILD-Schicht 119 entsteht. Über diesen Weg können die Ätzmittel bis zu dem tieferliegenden Isolationsbereich 105 gelangen und diesen angreifen.
  • Da jedoch durch den gerade beschriebenen Prozess der Rest-Sauerstoff, der vor dem Abscheiden des Füllmaterials 901 vorhanden war, entfernt wird, wird das Füllmaterial 901 so abgeschieden, dass es in direkten Kontakt mit dem Material der ILD-Schicht 119 kommt, sodass eine Dichtung entsteht, die den Rest-Sauerstoff nicht aufweist. Daher gibt es während des Entfernungsprozesses für die ersten Abstandshalter 113 keinen Sauerstoff, der zwischen dem Füllmaterial 901 und der ILD-Schicht 119 entfernt werden muss, und es entsteht kein offener Weg. Dadurch, dass die Entstehung dieses Wegs verhindert wird, kann keines der Ätzmittel, die während des Entfernens der ersten Abstandshalter 113 verwendet werden, in den tieferliegenden ersten Isolationsbereich 105 eindringen und Material von dem ersten Isolationsbereich 105 entfernen. Durch Verhindern dieses Wegs und durch Reduzieren des Schadens durch diesen Weg können die zeitlichen Beschränkungen, die es früher beim Ätzen der ersten Abstandshalter 113 zur Vermeidung dieses Eindringens gegeben hat, entfallen, sodass das gesamte Prozessfenster für das Entfernen der ersten Abstandshalter 113 vergrößert wird und Luft-Abstandshalter 1403 (die nachstehend bei den 14A bis 14C beschrieben werden) größer hergestellt werden.
  • Die 14A bis 14C zeigen das Abscheiden einer Verkappungsschicht 1401 und das Herstellen von Luft-Abstandshaltern 1403 benachbart zu den Gatestapeln 115. Bei einer Ausführungsform kann die Verkappungsschicht 1401 aus einem geeigneten dielektrischen Material bestehen, und sie kann eine dielektrische Low-k-Schicht sein, die einen k-Wert von weniger als 3,9 hat, der wiederum kleiner als 2,0 sein kann. Bei einigen Ausführungsformen ist das dielektrische Material der Verkappungsschicht 1401 Siliziumnitrid (SiN), Siliziumoxidcarbonitrid (SiOCN), Siliziumoxidcarbid (SiOC) oder dergleichen. Die Verkappungsschicht 1401 kann mit einem geeigneten Abscheidungsverfahren, wie etwa ALD, CVD oder dergleichen, hergestellt werden. Wenn das Seitenverhältnis der Öffnungen hoch genug ist, wird der Hohlraum, der durch das Entfernen der ersten Abstandshalter 113 entsteht, durch die Abscheidung abgedichtet, und es entstehen die Luft-Abstandshalter 1403. In den Luft-Abstandshaltern 1403 können sich ein oder mehrere Gase, die bei der Abscheidung des dielektrischen Materials der Verkappungsschicht 1401 verwendet werden, oder andere Spezies befinden, die in die Luft-Abstandshalter 1403 eindiffundieren können.
  • Um überschüssiges dielektrisches Material der Verkappungsschicht 1401 von den Oberseiten des Helmmaterials 1201 und der Gatestapel 115 zu entfernen, kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden. Dadurch kann die Verkappungsschicht 1401 planar mit dem Helmmaterial 1201 und den Gatestapeln 115 sein.
  • Außerdem kann die chemisch-mechanische Polierung, die zum Entfernen von überschüssigem dielektrischem Material der Verkappungsschicht 1401 verwendet wird, an dieser Stelle auch zum Reduzieren der Höhe der Gatestapel 115 verwendet werden. Bei einer Ausführungsform kann die Höhe der Gatestapel 115 über den Finnen 107 auf etwa 140 Å bis etwa 160 Å reduziert werden. Es kann jedoch jede geeignete Reduzierung der Höhe verwendet werden.
  • Dadurch, dass Rest-Sauerstoff von vorhergehenden Prozessen vor dem Entfernen der ersten Abstandshalter 113 zum Herstellen der Luft-Abstandshalter 1403 entfernt wird, ist dieser Sauerstoff nicht vorhanden und braucht während des Entfernens der ersten Abstandshalter 113 nicht entfernt zu werden. Dadurch entsteht kein Weg, über den die Ätzmittel in unerwünschte Bereiche der Struktur eindringen können, wo die Ätzmittel weitere Schäden verursachen können. Dies ermöglicht eine Vergrößerung des Prozessfensters für das Entfernen der ersten Abstandshalter 113 und trägt zum Verbessern der Gesamteffizienz und der Ausbeute des Herstellungsprozesses bei.

Claims (19)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Herstellen eines Metallgates über einer Halbleiterfinne (107); Zertrennen des Metallgates in ein erstes Metallgate und ein zweites Metallgate, wobei nach dem Zertrennen des Metallgates ein Oxid auf einer Seitenwand des ersten Metallgates vorhanden ist; Entfernen des Oxids von der Seitenwand des ersten Metallgates; Füllen eines Bereichs zwischen dem ersten Metallgate und dem zweiten Metallgate mit einem dielektrischen Material (901), wobei das dielektrische Material in physischem Kontakt mit der Seitenwand des ersten Metallgates ist; und Entfernen eines Abstandshalters (113) von einer zweiten Seitenwand des ersten Metallgates nach dem Entfernen des Oxids von der Seitenwand, wobei durch das Entfernen des Abstandshalters (113) ein Hohlraum (1301) entsteht.
  2. Verfahren nach Anspruch 1, das weiterhin das Verkappen des Hohlraums (1301) umfasst, um einen Luft-Abstandshalter (1403) herzustellen.
  3. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Entfernen des Oxids bei einer Temperatur von etwa 115 °C bis etwa 120 °C erfolgt.
  4. Verfahren nach Anspruch 3, wobei das Entfernen des Oxids bei einem Druck von etwa 199,98 Pa bis etwa 266,65 Pa erfolgt.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Entfernen des Oxids zumindest teilweise mit einem Gemisch aus Fluorwasserstoff und Ammoniak erfolgt.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Zertrennen des Metallgates zumindest teilweise mit zyklischen Abscheidungs- und Ätzprozessen erfolgt.
  7. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Entfernen eines Dummy-Gates zwischen Abstandshaltern (113) über einer Halbleiterfinne (107); Ersetzen des Dummy-Gates durch einen Gatestapel (115); Entfernen eines Teils des Gatestapels (115) mit einem zyklischen Ätzprozess, um eine Öffnung (701) zu erzeugen, wobei der zyklische Ätzprozess ein Oxidmaterial entlang Seitenwänden der Öffnung (701) hinterlässt; Entfernen des Oxidmaterials von den Seitenwänden der Öffnung (701); nach dem Entfernen des Oxidmaterials Füllen der Öffnung (701) mit einem dielektrischen Material (901); Aufbringen eines Ätzmittels auf die Abstandshalter (113) sowie auf einen Teil einer Grenzfläche zwischen dem dielektrischen Material (901) und dem Gatestapel (115), wobei das Ätzmittel die Abstandshalter (113) entfernt, sodass ein Hohlraum (1301) entsteht, aber das Ätzmittel nicht zwischen das dielektrische Material (901) und den Gatestapel (115) gelangt; und Verkappen des Hohlraums (1301), um einen Luft-Abstandshalter (1403) benachbart zu dem Gatestapel (115) herzustellen.
  8. Verfahren nach Anspruch 7, das nach dem Füllen der Öffnung (701) mit dem dielektrischen Material (901) weiterhin das Aussparen eines Zwischenschicht-Dielektrikums (119) zum Erzeugen einer Aussparung (1101) umfasst.
  9. Verfahren nach Anspruch 8, das weiterhin das Füllen der Aussparung (1101) mit einem zweiten dielektrischen Material (1201) umfasst.
  10. Verfahren nach einem der Ansprüche 7 bis 9, wobei jeder Zyklus des zyklischen Ätzprozesses Folgendes umfasst: Abscheiden eines Belagmaterials; Durchätzen des Belagmaterials; und Verwenden des Belagmaterials als eine Maske zum Ätzen des Gatestapels (115).
  11. Verfahren nach einem der Ansprüche 7 bis 10, wobei das Entfernen des Oxids bei einer Temperatur von etwa 115 °C bis etwa 120 °C erfolgt.
  12. Verfahren nach Anspruch 11, wobei das Entfernen des Oxids bei einem Druck von etwa 199,98 Pa bis etwa 266,65 Pa erfolgt.
  13. Verfahren nach Anspruch 12, wobei das Entfernen des Oxids zumindest teilweise mit einem Gemisch aus Fluorwasserstoff und Ammoniak erfolgt.
  14. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Strukturieren einer Hartmaskenschicht (601), um eine Öffnung (701) über einem leitfähigen Gate (115) über einer Halbleiterfinne (107) zu erzeugen; Abscheiden eines ersten Belags (601) in der Öffnung (701); Ätzen einer ersten Unterseite des ersten Belags, um das leitfähige Gate (115) freizulegen; Ätzen des leitfähigen Gates (115) durch den ersten Belag (601); Abscheiden eines zweiten Belags in der Öffnung (701); Ätzen einer zweiten Unterseite des zweiten Belags, um das leitfähige Gate (115) freizulegen; Ätzen des leitfähigen Gates (115) durch den zweiten Belag; Entfernen eines Oxids von einer Seitenwand des leitfähigen Gates (115) nach dem Ätzen des leitfähigen Gates (115); Aufbringen eines dielektrischen Materials (901) auf die Seitenwand des leitfähigen Gates (115) nach dem Entfernen des Oxids; nach dem Aufbringen des dielektrischen Materials (901) auf die Seitenwand Entfernen von Abstandshaltern (113) von Stellen, die zu dem leitfähigen Gate (115) benachbart sind, um einen Hohlraum (1301) um das leitfähige Gate (115) zu erzeugen; und Verkappen des Hohlraums (1301), um Luft-Abstandshalter (1403) um das leitfähige Gate (115) herzustellen.
  15. Verfahren nach Anspruch 14, wobei das Entfernen des Oxids von der Seitenwand zumindest teilweise mit einem Gemisch aus Fluorwasserstoff und Ammoniak erfolgt.
  16. Verfahren nach Anspruch 15, wobei das Entfernen des Oxids weiterhin einen Temperprozess umfasst.
  17. Verfahren nach Anspruch 16, wobei der Temperprozess bei einer Temperatur von etwa 80 °C bis etwa 200 °C durchgeführt wird.
  18. Verfahren nach Anspruch 17, wobei das Entfernen des Oxids bei einem Druck von etwa 199,98 Pa bis etwa 266,65 Pa erfolgt.
  19. Verfahren nach einem der Ansprüche 14 bis 18, das weiterhin Folgendes umfasst: Aussparen eines Zwischenschicht-Dielektrikums (119), um eine Aussparung (1101) zu erzeugen; und Füllen der Aussparung (1101) mit einem dielektrischen Material (1201).
DE102019112519.7A 2018-11-30 2019-05-14 Verfahren zur herstellung eines halbleiter-bauelements Active DE102019112519B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862773716P 2018-11-30 2018-11-30
US62/773,716 2018-11-30
US16/400,418 US10861746B2 (en) 2018-11-30 2019-05-01 Method of manufacturing a semiconductor device
US16/400,418 2019-05-01

Publications (2)

Publication Number Publication Date
DE102019112519A1 DE102019112519A1 (de) 2020-06-04
DE102019112519B4 true DE102019112519B4 (de) 2023-02-09

Family

ID=70680949

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019112519.7A Active DE102019112519B4 (de) 2018-11-30 2019-05-14 Verfahren zur herstellung eines halbleiter-bauelements

Country Status (2)

Country Link
US (1) US20240128126A1 (de)
DE (1) DE102019112519B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160351568A1 (en) 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20170222020A1 (en) 2015-11-04 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180315752A1 (en) 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102018128193A1 (de) 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cut-metal-gate prozesse

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160351568A1 (en) 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20170222020A1 (en) 2015-11-04 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180315752A1 (en) 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102018128193A1 (de) 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cut-metal-gate prozesse

Also Published As

Publication number Publication date
US20240128126A1 (en) 2024-04-18
DE102019112519A1 (de) 2020-06-04

Similar Documents

Publication Publication Date Title
DE102016100022B4 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE102020115120A1 (de) Nanosheet-feldeffekttransistor-vorrichtung und verfahren zu deren herstellung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102015108690A1 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102017128577A1 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102018125392A1 (de) Bildung stickstoffhaltiger Lagen als Oxidationsblockadelagen
DE102020119099B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102020109491A1 (de) Halbleitervorrichtung und verfahren
DE102019117011A1 (de) Halbleiter-bauelement und verfahren
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102018125383A1 (de) FinFET Vorrichtung und Verfahren zu deren Bildung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102020119452B4 (de) Halbleitervorrichtung mit schnitt-metallgate-struktur und verfahren zu deren herstellung
DE102019112519B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102020104370B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019110533B4 (de) Halbleitervorrichtung und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final