DE102015122667B4 - Verbindungsstruktur mit nichtfluchtenden metallleitungen, die durch eine andere verbindungsschicht verbunden sind - Google Patents

Verbindungsstruktur mit nichtfluchtenden metallleitungen, die durch eine andere verbindungsschicht verbunden sind Download PDF

Info

Publication number
DE102015122667B4
DE102015122667B4 DE102015122667.7A DE102015122667A DE102015122667B4 DE 102015122667 B4 DE102015122667 B4 DE 102015122667B4 DE 102015122667 A DE102015122667 A DE 102015122667A DE 102015122667 B4 DE102015122667 B4 DE 102015122667B4
Authority
DE
Germany
Prior art keywords
layer
metal line
metal
end portion
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015122667.7A
Other languages
English (en)
Other versions
DE102015122667A1 (de
Inventor
Jhon Jhy Liaw
Yen-Huei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015122667A1 publication Critical patent/DE102015122667A1/de
Application granted granted Critical
Publication of DE102015122667B4 publication Critical patent/DE102015122667B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Verbindungsstruktur (100, 200, 300, 350, 500, 600), die Folgendes umfasst:
eine erste Metallleitung (120, 220, 620) in einer ersten Verbindungsschicht, die sich der Länge nach im Wesentlichen in einer ersten Richtung (Y) erstreckt und an einem ersten Endabschnitt (122, 222, 322, 622) endet;
eine zweite Metallleitung (140, 240, 640), die in der ersten Verbindungsschicht ausgebildet ist, die an einem zweiten Endabschnitt (142, 242, 342, 642) beginnt, sich der Länge nach im Wesentlichen in der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und
eine erste Verbindungsstruktur, die die erste Metallleitung mit der zweiten Metallleitung verbindet, wobei
die erste Verbindungsstruktur einen ersten durchgehenden Abschnitt (130, 230, 330, 380, 630) aufweist, der in einer zweiten Verbindungsschicht ausgebildet ist, die sich von der ersten Verbindungsschicht unterscheidet und der von dem ersten Endabschnitt (122, 222, 322, 622) und dem zweiten Endabschnitt (142, 242, 342, 642) überlappt wird, und
wobei der erste durchgehende Abschnitt (130, 230, 330, 380, 630) eine Single-Damascene-Durchkontaktierung ist.

Description

  • HINTERGRUND
  • Ein Halbleiterchip umfasst Schaltungsblocks, die verschiedene Funktionen ausführen und mit einander kommunizieren, um einen Zweck zu erfüllen. Ein statischer Single-Bank-Arbeitsspeicher-(SRAM)-Chip weist Schaltungsblocks wie einen Array von Arrayzellen, eine Wortleitungsdecodierschaltung und eine Eingabe/Ausgabe-(IO)-Schaltung auf. Der Array von Arrayzellen dient dazu, Daten an adressierbaren Orten zu speichern. Die Wortleitungsdecodierschaltung dient dazu, eine Reihe in dem Array von Arrayzellen zum Zugriff für eine Reihenadresse auszuwählen. Die IO-Schaltung dient dazu, auf eine Spalte in der gewählten Reiche von Arrayzellen gemäß einer Spaltenadresse zuzugreifen. Eine Verbindungsstruktur des Halbleiterchips weist Metallleitungsabschnitte für den zugehörigen Array von Arrayzellen, die Wortleitungsdecodierschaltung und die IO-Schaltung etc. auf. Eine Bitleitung und eine komplementäre Bitleitung, die sich über eine Spalte des Arrays von Arrayzellen erstrecken, werden beispielsweise so auf die IO-Schaltung ausgedehnt, dass die IO-Schaltung auf die gewählte Arrayzelle zugreifen kann. Die Schaltungsblocks und die verbundenen entsprechenden Abschnitte der Verbindungsstruktur dienen dazu, Daten zu speichern und wiederherzustellen.
  • Die DE 10 2012 219 375 A1 beschreibt eine Halbleitervorrichtung zur Implementierung von wenigstens einem Logikelement. Die Halbleitervorrichtung umfasst ein Halbleitersubstrat mit einem ersten Transistor und einem zweiten Transistor, die auf dem Halbleitersubstrat ausgebildet sind. Jeder Transistor umfasst ein Source, ein Drain und ein Gate. Eine Grabensilizidschicht verbindet Source oder Drain des ersten Transistors mit Source oder Drain des zweiten Transistors.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Einrichtungen nicht maßstabsgetreu gezeigt sind. Tatsächlich können die Abmessungen der verschiedenen Einrichtungen zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1A ist eine Perspektivansicht einer Verbindungsstruktur mit nichtfluchtenden Metallleitungen, die durch eine darunter liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen.
    • 1B ist eine weitere Perspektivansicht einer Verbindungsstruktur mit fluchtenden Metallleitungen, die durch eine darunter liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen.
    • 2A ist eine Draufsicht der Verbindungsstruktur, die in 1A gezeigt ist, die einen zweimal gewinkelten und im Wesentlichen nicht geweiteten durchgehenden Abschnitt aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 2B ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen zweimal gewinkelten und geweiteten durchgehenden Abschnitt aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 2C ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen im Wesentlichen rechteckigen durchgehenden Abschnitt aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 2D ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 3 ist eine Schnittansicht der Verbindungsstruktur entlang der Linie A-A', die in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 4A ist eine Draufsicht einer Verbindungsstruktur, die einen zweimal gewinkelten und im Wesentlichen nicht geweiteten durchgehenden Abschnitt aufweist, wie in 2A gezeigt, der sich über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt und die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 4B ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen zweimal gewinkelten und geweiteten durchgehenden Abschnitt aufweist, wie in 2B gezeigt, der sich im Wesentlichen nicht über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 4C ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen im Wesentlichen rechteckigen durchgehenden Abschnitt aufweist, wie in 2C gezeigt, der sich im Wesentlichen nicht über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 4D ist eine Draufsicht einer weiteren Verbindungsstruktur, die einen einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt aufweist, wie in 2D gezeigt, der sich im Wesentlichen nicht über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 5 ist eine Perspektivansicht einer weiteren Verbindungsstruktur, die einen zusätzlichen Abschnitt aufweist, der unter und verbunden mit dem durchgehenden Abschnitt ausgebildet ist, wie in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 6 ist ein Diagramm eines Layouts zum Ausbilden der Verbindungsstruktur, die in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 7A bis 7E sind Schnittansichten, die ein einziges Strukturierverfahren zur Herstellung der Verbindungsstruktur, die in 1 gezeigt ist, unter Verwendung des Layouts zeigen, das in 6 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 8 ist eine Abbildung eines Layouts zum Ausbilden der Verbindungsstruktur, die in 2B gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 9A bis 9C sind Schnittansichten, die ein Mehrfach-Strukturierverfahren zur Herstellung des durchgehenden Abschnitts, der in 2B gezeigt ist, unter Verwendung des Layouts zeigen, das in 8 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 10A ist eine Perspektivansicht einer Verbindungsstruktur mit verschobenen Metallleitungen (den gleichen, die in 1A gezeigt sind), die durch eine darüber liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen.
    • 10B ist eine Perspektivansicht einer weiteren Verbindungsstruktur mit fluchtenden Metallleitungen (den gleichen, die in 1B gezeigt sind), die durch eine darüber liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen.
    • 11A ist eine Draufsicht der Verbindungsstruktur, die in 10A gezeigt ist, die im Wesentlichen nicht geweitete Durchkontaktierungen aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 11B ist eine Draufsicht einer weiteren Verbindungsstruktur, die geweitete Durchkontaktierungen aufweist, in Übereinstimmung mit einigen Ausführungsformen.
    • 12 ist eine Schnittansicht der Verbindungsstruktur entlang der Linie F-F', die in 11A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 13A ist eine Draufsicht einer Verbindungsstruktur, die die nicht geweiteten Durchkontaktierungen aufweist, wie in 11A gezeigt, die mit den entsprechenden Endabschnitten verbunden sind, die sich im Wesentlichen nicht über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 13B ist eine Draufsicht einer weiteren Verbindungsstruktur, die geweitete Durchkontaktierungen aufweist, wie in 11B gezeigt, die mit den entsprechenden Endabschnitten verbunden sind, die sich über die Enden der Metallleitungen angrenzend an entsprechende Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, in Übereinstimmung mit einigen Ausführungsformen.
    • 14 ist eine Abbildung eines Layouts zum Ausbilden der Verbindungsstruktur, die in 10A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 15A bis 15D sind Schnittansichten, die ein Verfahren zur Herstellung der Verbindungsstruktur, die in 10A gezeigt ist, unter Verwendung des Layouts, das in 14 gezeigt ist zeigen, in Übereinstimmung mit einigen Ausführungsformen.
    • 16 ist eine Perspektivansicht einer Verbindungsstruktur, die die Verbindungsstruktur, die in 1A gezeigt ist, und die Verbindungsstruktur aufweist, die in 10B angrenzend an die Verbindungsstruktur gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 17 ist eine Perspektivansicht einer Verbindungsstruktur, die die Verbindungsstruktur, die in 10A gezeigt ist, und die Verbindungsstruktur aufweist, die in 10B angrenzend an die Verbindungsstruktur gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 18 ist ein Blockdiagramm eines SRAM-Makros in einem Halbleiterchip in Übereinstimmung mit einigen Ausführungsformen.
    • 20 ist eine Ansicht des Layouts, das die Schichten der Arrayzellen in dem FEOL-Abschnitt und die Durchkontaktierungsschicht via0 der Arrayzellen in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 21 ist eine Ansicht des Layouts, das die Schichten der Arrayzellen in dem BEOL-Abschnitt in 23 und die Metallschicht M3 der Arrayzellen aufweist, die in der Schnittansicht nicht gezeigt sind, die in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 22A ist eine Ansicht des Layouts, das die Schichten der Schaltung bis zu der Metallschicht M1 in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 22B ist eine Ansicht eines weiteren Layouts, das die Schichten bis zu der Metallschicht M1 der Schaltung in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 22C ist eine Ansicht eines weiteren Layouts, das die Schichten bis zu der Metallschicht M1 der Schaltung in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 22D ist eine Ansicht eines weiteren Layouts, das die Schichten bis zu der Metallschicht M1 der Schaltung in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 23 ist eine Ansicht eines Schnitts der Schaltung, der an der Linie H-H', die in 20 gezeigt ist, der Linie I-I', die in 21 gezeigt ist, und der Linie J-J', die in 22A gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 24 ist eine Ansicht des Layouts, das die Schichten der Schaltung bis zu der Metallschicht M1 und einem durchgehenden Abschnitt der Schaltung in der Metallschicht M2 in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 25 ist eine Ansicht des Layouts, das die Schichten der Schaltung bis zu der Metallschicht M1 in dem BEOL-Abschnitt, der in 26 gezeigt ist, und zusätzliche Abschnitte in der Kontaktschicht in dem FEOL-Abschnitt aufweist, der in 26 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 26 ist eine Ansicht des Schnitts der Schaltung, der an der Linie H-H', die in 20 gezeigt ist, der Linie I-I', die in 21 gezeigt ist, und der Linie K-K', die in 25 gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 27 ist eine Ansicht des Layouts, das die Schichten der Arrayzellen in dem FEOL-Abschnitt und die Durchkontaktierungsschicht via0 der Arrayzellen in dem BEOL-Abschnitt aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 28 ist eine Ansicht des Layouts 2702, das die Schichten der Arrayzellen in dem BEOL-Abschnitt, der in 30 gezeigt ist, und die Metallschicht M3 der Arrayzellen aufweist, die in der Schnittansicht nicht gezeigt sind, die in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 29A ist eine Ansicht des Layouts, das die Schichten der Schaltung bis zu der Metallschicht M1 in dem BEOL-Abschnitt aufweist, der in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 30 ist eine Ansicht des Schnitts der Schaltung, der an der Linie L-L', die in 27 gezeigt ist, der Linie M-M', die in 28 gezeigt ist, und der Linie N-N', die in 29 gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 31 ist eine Ansicht eines wirksamen Layouts, das die Schichten der Schaltung bis zu der Metallschicht M2 in dem BEOL-Abschnitt aufweist, der in 33 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 32 ist eine Ansicht eines realen Layouts, aus dem das wirksame Layout, das in 31 gezeigt ist, hergestellt wird, in Übereinstimmung mit einigen Ausführungsformen.
    • 33 ist eine Ansicht des Schnitts der Schaltung, der an der Linie L-L', die in 27 gezeigt ist, der Linie M-M', die in 28 gezeigt ist, und der Linie O-O', die in 31 gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 34 ist ein Schaltungsdiagramm einer Schaltung, die eine Dual-Port-SRAM-Zelle und einen entsprechenden Abschnitt einer IO-Schaltung aufweist, eine Ansicht des Schnitts der Schaltung, der an der Linie L-L', die in 27 gezeigt ist, der Linie M-M', die in 28 gezeigt ist, und der Linie N-N', die in 29 gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 35 ist eine Ansicht eines Layouts, das Schichten der Arrayzellen in dem FEOL-Abschnitt, der in 30 gezeigt ist, und die Durchkontaktierungsschicht via0 der Arrayzellen in dem BEOL-Abschnitt aufweist, der in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 36 ist eine Ansicht des Layouts der Schaltung, die in 34 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
    • 37 ist eine Ansicht eines Layouts der Schaltung, die in 34 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Einrichtungen des vorgesehenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden einer ersten Einrichtung über oder auf einer zweiten Einrichtung in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen die erste und die zweite Einrichtung in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Einrichtungen zwischen der ersten Einrichtung und der zweiten Einrichtung ausgebildet sein können, so dass die erste und die zweite Einrichtung nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen. Gleiche Elemente sind mit den gleichen Bezugszeichen in der vorliegenden Offenbarung gekennzeichnet.
  • In einigen Ausführungsformen wird der Ausdruck „A und/oder B“ verwendet, um eine Menge zu beschreiben, die nur A, nur B oder A und B enthält.
  • In einigen Ausführungsformen können räumlich relative Begriffe, wie „eine X-Richtung“ und „eine Y-Richtung“, „eine Richtung entgegen der X-Richtung“ und „die Y-Richtung“, „die X-Richtung“ und „eine Richtung entgegen der Y-Richtung“ und „die Richtung entgegen der X-Richtung“ und „eine Richtung entgegen der Y-Richtung“, „Start“ und „Ende“, „hinter“ und „jenseits“, „über“ und „unter“ und Ähnliches, zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit einem oder mehreren anderen Elementen oder Einrichtungen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Wenn in einigen Ausführungsformen ein Element als mit einem weiteren Element „verbunden“ oder „verknüpft“ bezeichnet wird, kann es mit dem weiteren Element direkt verbunden oder verknüpft sein oder dazwischen liegende Elemente können vorliegen.
  • Verbindungsstruktur mit nichtfluchtenden Metallleitungen, die durch eine darunter liegende Schicht verbunden sind
  • Da Breiten für Metallleitungen in einem Halbleiterchip weiter schrumpfen, etwa auf 10 nm oder weniger, werden strengere Routing-Regeln für das Chipdesign angewendet, um sich auf Situationen einzustellen, in denen Schwierigkeiten bei der Metallleitungsstrukturierung aufgrund von Einschränkungen von heutigen Lithographiewerkzeugen auftreten. Ein Beispiel solcher strengen Routing-Regeln besteht in einer unidirektionalen Routing-Regel und einer Umgebung mit festen Abständen für Metallleitungen in manchen Metallschichten. Bei diesen strengen Routing-Regeln werden keine Biegungen oder Sprünge in Metallleitungen in einer Metallschicht zugelassen. Daher kann keine Strategie zur Verkleinerung der Chipfläche angewendet werden, die einen Teil einer Metallleitung im Gitter verlegt, die Metallleitung biegt und einen anderen Teil der Metallleitung nicht im Gitter verlegt, wie in anderen Ansätzen. Um solche Einschränkungen zu beheben, werden in einigen Ausführungsformen nichtfluchtende Metallleitungen in einer ersten Verbindungsschicht, d.h. einem Teil der Metallleitung, der auf dem Gitter verlegt ist, und einem anderen Teil der Metallleitung, die nicht auf dem Gitter verlegt ist, durch eine Verbindungsstruktur verbunden, die einen durchgehenden Abschnitt in einer zweiten Verbindungsschicht unter der ersten Verbindungsschicht aufweist. Die Verbindungsstruktur ist eine Single-Damascene-Struktur und wird daher getrennt von den nichtfluchtenden Metallleitungen ausgebildet, die den strengen Routing-Regeln für die erste Verbindungsschicht unterliegen.
  • In einigen Ausführungsformen bezeichnet der Begriff „Routing“ ein Stadium des Ausbildens eines Layouts, das einer Netzliste entspricht, bei der unter Vorgabe der Zellenanordnung, der Netzliste und der Technologieinformation die notwendige Verdrahtung zur Verbindung der Zellen mit einem Optimierungsziel so bestimmt wird, dass die Gesamt-Drahtlänge unter Bedingungen wie Designregeln und Routing-Ressourcen minimiert wird.
  • 1A ist eine Perspektivansicht einer Verbindungsstruktur 100 mit nichtfluchtenden Metallleitungen 120 und 140, die durch eine darunter liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen. 1A zeigt eine Verbindungsstruktur 124 der nichtfluchtenden Metallleitungen 120 und 140, die einen durchgehenden Abschnitt 130 in einer Durchkontaktierungsschicht via0 unter einer Metallschicht M1 aufweist, in der die Metallleitungen 120 und 140 liegen. In einigen Ausführungsformen weist die Verbindungsstruktur 100 die Metallleitungen 120 und 140 und die Verbindungsstruktur 124 auf, die die Metallleitung 120 und die Metallleitung 140 verbindet. Die Metallleitung 120 ist in der Metallschicht M1 ausgebildet und erstreckt sich in der Länge im Wesentlichen entlang einer Y-Richtung. Die Metallleitung 140 ist auch in der gleichen Verbindungsschicht wie die Metallleitung 120 ausgebildet und erstreckt sich in der Länge im Wesentlichen entlang der Y-Richtung. Die Metallleitung 120 ist gegenüber der Metallleitung 140 entlang der Y-Richtung nichtfluchtend angeordnet. Mit anderen Worten ist die Metallleitung 140 entlang einer X-Richtung verschoben, die im Wesentlichen rechtwinklig zu der Y-Richtung mit Bezug auf die Metallleitung 120 ist. Die Verbindungsstruktur 124 weist den durchgehenden Abschnitt 130 auf. Der durchgehende Abschnitt 130 ist in der Durchkontaktierungsschicht via0 unter der Metallschicht M1 ausgebildet und überlappt die Metallleitungen 120 und 140.
  • In einigen Ausführungsformen bezeichnet der Begriff „im Wesentlichen entlang“, „im Wesentlichen parallel“ oder „im Wesentlichen rechtwinklig“ für eine erste Richtung und eine zweite Richtung eine erste Richtung mit einem abweichenden Winkel von etwa 5 Grad, 10 Grad und 15 Grad etc. von einer Referenzrichtung. Für „im Wesentlichen entlang“, oder „im Wesentlichen parallel“ ist die Referenzrichtung die zweite Richtung und für „im Wesentlichen rechtwinklig“ ist die Referenzrichtung 90 Grad von der zweiten Richtung abweichend. Andere Weisen, zu bestimmen, wie die erste Richtung „im Wesentlichen entlang“, „im Wesentlichen parallel“ oder „im Wesentlichen rechtwinklig“ zu der zweiten Richtung ist, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Ein Verhältnis des abweichenden Winkel der ersten Richtung von einer ersten Referenzrichtung und eines abweichenden Winkels der zweiten Richtung von einer zweiten Referenzrichtung ist beispielsweise größer als ein Anteil von etwa 85%, 90% und 95% etc. Für „im Wesentlichen entlang“ oder „im Wesentlichen parallel“ ist die erste Referenzrichtung die gleiche wie die zweite Referenzrichtung und für „im Wesentlichen rechtwinklig“ ist die erste Referenzrichtung von der zweiten Referenzrichtung um 90 Grad abweichend. Als weiteres Beispiel ist die Differenz zwischen einem abweichenden Winkel der ersten Richtung von der ersten Referenzrichtung und einem abweichenden Winkel der zweiten Richtung von der zweiten Referenzrichtung kleiner als ein Anteil von etwa 5%, 10% und 15% etc. des abweichenden Winkels der zweiten Richtung von der zweiten Referenzrichtung.
  • 1B ist eine Perspektivansicht einer weiteren Verbindungsstruktur 150 mit fluchtenden Metallleitungen 170 und 190, die durch eine darunter liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen. Ähnlich den Metallleitungen 120 und 140 in 1A erstrecken sich die Metallleitungen 170 und 190 in der Länge im Wesentlichen entlang der Y-Richtung. Verglichen mit der Verbindungsstruktur 100, die in 1A gezeigt ist, sind die Metallleitungen 170 und 190, die in der Metallschicht M1 ausgebildet sind, zueinander in der Y-Richtung fluchtend. Die Verbindungsstruktur 150 umfasst die Metallleitungen 170 und 190 und die Verbindungsstruktur 174, die die Metallleitung 170 mit der Metallleitung 190 verbindet. Ähnlich der Verbindungsstruktur 124, die mit Bezug auf 1A beschrieben wurde, weist die Verbindungsstruktur 174 einen durchgehenden Anschnitt 190 auf, der in der Durchkontaktierungsschicht via0 unter der Metallschicht M1 ausgebildet ist, und überlappt die Metallleitungen 170 und 190.
  • Die Verbindungsstruktur 100 oder 150, die in einer Metallschicht M1 ausgebildet ist, und eine Durchkontaktierungsschicht via0 sind beispielhaft. Verbindungsstrukturen, die in anderen Verbindungsschichten ausgebildet sind, etwa eine Verbindungsstruktur, die in einer Metallschicht M2 und einer Durchkontaktierungsschicht via1 ausgebildet ist, eine Verbindungsstruktur, die in einer Metallschicht M3 und einer Durchkontaktierungsschicht via2 ausgebildet ist, eine Verbindungsstruktur, die in einer Metallschicht M4 und einer Durchkontaktierungsschicht via3 ausgebildet ist, etc. liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 2A ist eine Draufsicht der Verbindungsstruktur 100, die in 1A gezeigt ist, die einen zweimal gewinkelten und im Wesentlichen nicht geweiteten durchgehenden Abschnitt 130 aufweist, in Übereinstimmung mit einigen Ausführungsformen. 2A zeigt den durchgehenden Abschnitt 130, der mit einem Endabschnitt 122 der Metallleitung 120 und einem Endabschnitt 142 der Metallleitung 140 überlappt und eine zweimal gewinkelte Form hat. In einigen Ausführungsformen erstreckt sich die Metallleitung 120 in der Länge im Wesentlichen entlang der Y-Richtung und endet am Endabschnitt 122. Die Metallleitung 140 startet am Endabschnitt 142 und erstreckt sich in der Länge im Wesentlichen entlang der Y-Richtung. Der Endabschnitt 130 überlappt den Endabschnitt 122 und denEndabschnitt 142. Weiter hat in einigen Ausführungsformen der durchgehende Abschnitt 130 die zweimal gewinkelte Form, die im Wesentlichen entlang der Y-Richtung läuft, um mit dem Endabschnitt 122 zu überlappen, biegt sich, indem er im Wesentlichen entlang der X-Richtung läuft, und biegt sich wieder, so dass er im Wesentlichen entlang der Y-Richtung läuft, um mit dem Endabschnitt 142 zu überlappen. Daher hat der durchgehende Abschnitt 130 mindestens eine Ecke 132, eine Ecke 134 und eine Ecke 136. Die Ecke 132 biegt sich im Wesentlichen von der Y-Richtung im Wesentlichen zu der X-Richtung oder biegt sich analog im Wesentlichen von einer Richtung entgegen der X-Richtung zu einer Richtung entgegen der Y-Richtung. Die Ecke 134 biegt sich im Wesentlichen von der X-Richtung im Wesentlichen zu der Y-Richtung. Eine analoge Beschreibung wie für die Ecke 132 gilt auch für die Ecken 134 und 136.
  • In einigen Ausführungsformen ist die Breite des durchgehenden Abschnitts 130, wo der durchgehende Abschnitt 130 den Endabschnitt 122 berührt, nicht wesentlich breiter als die Breite W12 des Endabschnitts 122, um eine Beeinflussung von anderen Durchkontaktierungen in der gleichen Verbindungsschicht, etwa der Durchkontaktierungsschicht via0, zu verhindern. Analog ist die Breite W13 des durchgehenden Abschnitts 130, wo der Endabschnitt 130 den Endabschnitt 142 berührt, nicht wesentlich breiter als die Breite W14 des Endabschnitts 142.
  • In einigen Ausführungsformen haben der Endabschnitt 122 der Metallleitung 120 und der Endabschnitt 142 der Metallleitung 140 eine gleiche Breite. Daher sind die Breite W12 und die Breite W14 gleich groß. In anderen Ausführungsformen haben der Endabschnitt 122 der Metallleitung 120 und der Endabschnitt 142 der Metallleitung 140 ungleiche Breiten, etwa für abgeschrägte Leitungsenden. Daher sind die Breite W12 und die Breite W14 die maximalen Breiten der Endabschnitte 122 bzw. 142. Die Art, in der die Breite W12 des Endabschnitts 122 definiert ist, kann in der ganzen vorliegenden Offenbarung gleich verwendet werden.
  • In einigen Ausführungsformen bezieht sich der Satz „der durchgehende Abschnitt 130, an dem der durchgehende Abschnitt 130 mit dem Endabschnitt 122 überlappt“, auf einen Abschnitt des durchgehenden Abschnitts 130, der sich von der Oberseite des Endabschnitts 122 entlang der Y-Richtung zu der Unterseite des Endabschnitts 122 entlang der Y-Richtung erstreckt. Der obige Satz, in dem „an dem“ verwendet wird, um einen Teil des durchgehenden Abschnitts 130 zu definieren, kann in der ganzen vorliegenden Offenbarung ähnlich verwendet werden.
  • In einigen Ausführungsformen hat der durchgehende Abschnitt 130, wo der durchgehende Abschnitt 130 den Endabschnitt 122 und den Endabschnitt 142 überlappt, eine einheitliche Breite. Daher ist die Breite W13 eine einheitliche Breite. In anderen Ausführungsformen hat der durchgehende Abschnitt 130, wo der durchgehende Abschnitt 130 mit dem Endabschnitt 122 und den Endabschnitt 142 überlappt, eine nicht einheitliche Breite. Daher ist die Breite W13 die größte Breite, mit der der durchgehende Abschnitt 130 den Endabschnitt 122 und den Endabschnitt 142 überlappt. Die Weise, in der die Breite W13 des durchgehenden Abschnitts 130, wo der durchgehende Abschnitt 130 den Endabschnitt 122 überlappt, definiert ist, kann in der ganzen vorliegenden Offenbarung ähnlich verwendet werden.
  • In den Ausführungsformen, die in 2A gezeigt sind, hat der durchgehende Abschnitt 130 dort, wo der durchgehende Abschnitt 130 den Endabschnitt 122 und den Endabschnitt 142 überlappt, die gleiche Breite W13. Andere Ausführungsformen, etwa solchen, in denen der durchgehende Abschnitt 130 dort, wo der durchgehende Abschnitt 130 den Endabschnitt 122 und den Endabschnitt 142 überlappt, eine unterschiedliche Breite hat, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen bedeutet der Ausdruck „nicht wesentlich breiter“, dass eine erste Breite nicht um einen Anteil von etwa 5%, 10% und 15% etc. der ersten Breite größer als eine zweite Breite ist. Andere Weisen, zu bestimmen, dass die erste Breite „nicht wesentlich breiter“ als die zweite Breite ist, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Beispielsweise kann ein Verhältnis der zweiten Breite zu der ersten Breite von mehr als einem Anteil von etwa 85%, 90% oder 95% etc. vorliegen. Als weiteres Beispiel ist die Differenz der ersten Breite und der zweiten Breite kleiner als ein Wert, der nach der Designspezifikation vorbestimmt ist.
  • In den Ausführungsformen, die mit Bezug auf 2A beschrieben sind, biegt sich der durchgehende Abschnitt 130 zweimal, während er von der Überlappung mit dem Endabschnitt 122 zu der Überlappung mit dem Endabschnitt 142 übergeht. Durchgehende Abschnitte 130, die sich mehr als zweimal biegen, etwa viermal oder sechsmal, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 2B ist eine Draufsicht einer weiteren Verbindungsstruktur 200, die einen zweimal gewinkelten und geweiteten durchgehenden Abschnitt 230 aufweist, in Übereinstimmung mit einigen Ausführungsformen. Die Verbindungsstruktur 200 weist eine Metallleitung 220, eine Metallleitung 240 und einen durchgehenden Abschnitt 230 auf, die der entsprechenden Metallleitung 120, der Metallleitung 140 und dem durchgehenden Abschnitt 130 entsprechen, die mit Bezug auf 2A beschrieben wurden. Die Metallleitung 220 hat einen Endabschnitt 222 und die Metallleitung 240 hat einen Endabschnitt 242, an denen der durchgehende Abschnitt 230 mit den entsprechenden Metallleitungen 220 und 240 überlappt. Die Endabschnitte 222 und 242 entsprechen den entsprechenden Endabschnitten 122 und 142, die mit Bezug auf 2A beschrieben wurden. Verglichen mit den Metallleitungen 120 und 140 erstrecken sich die Metallleitungen 220 und 240 weiter auf einander zu. Verglichen mit dem durchgehenden Abschnitt 130 hat der durchgehende Abschnitt 230 eine größere Breite W23, wo der durchgehende Abschnitt 230 den Endabschnitt 222 berührt, als die Breite W22 des Endabschnitts 222 und/oder hat eine größere Breite W23, wo der durchgehende Abschnitt 230 den Endabschnitt 242 berührt, als die Breite W24 des Endabschnitts 242. In einigen Ausführungsformen ist die Breite W23 um einen Faktor von etwa 30% größer als die Breite W22 und/oder die Breite W24, um den Widerstand des durchgehenden Abschnitts 230 zu verringern. Eine untere Grenze des ersten Faktors ist größer als etwa 10%, so dass die Breite W23 größer als die Breite W22 und/oder die Breite W24 ist, unter Berücksichtigung der Abweichungen zwischen den Breiten W23 und W22 und/oder den Breiten W23 und W24, die durch Verfahrensabweichungen eingeführt werden. Eine obere Grenze des ersten Faktors wird so festgelegt, dass ein minimaler Abstand mit benachbarten Strukturen in der Durchkontaktierungsschicht via0 erhalten bleibt.
  • In den Ausführungsformen, die in 2B gezeigt sind, hat der durchgehende Abschnitt 230 die gleiche Breite W23 dort, wo der durchgehende Abschnitt 230 den Endabschnitt 222 und den Endabschnitt 242 überlappt. Andere Ausführungsformen, etwa solchen, in denen der durchgehende Abschnitt 230 dort, wo der durchgehende Abschnitt 230 den Endabschnitt 222 und den Endabschnitt 242 überlappt, eine unterschiedliche Breite hat, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen bezieht sich der Begriff „etwa“ auf eine absolute Differenz zwischen einem ersten Wert und einem zweiten Wert darauf, dass der erste Wert etwa um einen Anteil von 5%, 10% oder 15% von dem zweiten Wert abweicht. Andere Weisen, zu bestimmen, wann der erste Wert „etwa“ gleich dem zweiten Wert ist, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Ein Verhältnis des kleineren des ersten Wertes und des zweiten Wertes zu dem größeren des ersten Wertes und des zweiten Wertes liegt etwa bei 85%, 90% und 95% etc. Als weiteres Beispiel ist die absolute Differenz zwischen dem ersten Wert und dem zweiten Wert kleiner als ein Wert, der nach der Designspezifikation vorbestimmt ist.
  • 2C ist eine Draufsicht einer weiteren Verbindungsstruktur 300, die einen im Wesentlichen rechtwinkligen durchgehenden Abschnitt 330 aufweist, in Übereinstimmung mit einigen Ausführungsformen. Elemente in der Verbindungsstruktur 300, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die Elemente der Verbindungsstruktur 200, gleichen den Elementen in der Verbindungsstruktur 200, die in 2B gezeigt sind. Die Metallleitung 220 hat einen Endabschnitt 322 und die Metallleitung 240 hat einen Endabschnitt 242. die Endabschnitte 322 und 342 entsprechen den entsprechenden Endabschnitten 222 und 242, die mit Bezug auf 2B beschrieben sind. Verglichen mit der Verbindungsstruktur 200, die mit Bezug auf 2B beschrieben wurde, weist die Verbindungsstruktur 300 einen durchgehenden Endabschnitt 330 auf, der eine im Wesentlichen rechtwinklige Form statt einer zweimal gewinkelten Form hat. In einigen Ausführungsformen hat der durchgehende Abschnitt 330, der eine im Wesentlichen rechtwinklige Form hat, eine Breite W22 über die Breite W22 des Endabschnitts 322 und die Breite W24 des Endabschnitts 324. In einigen Ausführungsformen überlappt die Breite W22 mit der Breite W24 und daher ist die Breite W33 über den Breiten W22 und W24 mindestens gleich der Summe der Breiten W22 und W24, wobei der überlappende Abschnitt der Breiten W22 und W24 abgezogen wird. In anderen Ausführungsformen überlappt die Breite W22 nicht mit der Breite W24 und daher ist die Breite W33 über die Breiten W22 und W24 die Summe der Breiten W22 und W24 und der Breite des nicht überlappenden Abschnitts zwischen den Breiten W22 und W24. In anderen Ausführungsformen ist der durchgehende Abschnitt 330 dort breiter, wo er die Metallleitungen 220 und 240 überlappt. Weiter erstreckt sich der durchgehende Abschnitt 330 entlang einer Richtung entgegen der Y-Richtung und der Y-Richtung im Wesentlichen nicht so weit wie der durchgehende Abschnitt 230. Daher beanspruchen verglichen mit den Endabschnitten 222 und 242, die mit Bezug auf 2B beschrieben wurden, die Endabschnitte 322 und 342 kleinere Flächen.
  • In einigen Ausführungsformen bezieht sich der Ausdruck „im Wesentlichen rechtwinklige Form“ oder „im Wesentlichen rechtwinklig“ darauf, dass die entgegengesetzten Seiten der Form „im Wesentlichen parallel“ zu einander sind. Des Weiteren sind Ecken der Form spitz oder abgerundet.
  • 2D ist eine Draufsicht einer weiteren Verbindungsstruktur 350, die einen einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt 380 aufweist, in Übereinstimmung mit einigen Ausführungsformen. Elemente in der Verbindungsstruktur 350, die mit den gleichen Bezugszeichen gekennzeichnet sind wie diejenigen Elemente der Verbindungsstruktur 300, die in 2C gezeigt sind, gleichen den Elementen in der Verbindungsstruktur 300. Verglichen mit der Verbindungsstruktur 300, die mit Bezug auf 2C beschrieben wurde, weist die Verbindungsstruktur 350 einen durchgehenden Endabschnitt 380 auf, der eine einmal gewinkelte Form aufweist, die im Wesentlichen entlang der Y-Richtung läuft und sich so biegt, dass sie im Wesentlichen entlang der X-Richtung läuft. In einigen Ausführungsformen hat die einmal gewinkelte Form zumindest eine Ecke 282 und eine Ecke 284. Analog zu den Ecken 132 und 134 der zweimal gewinkelten Form des durchgehenden Abschnitts 130 biegen sich die entsprechenden Ecken 282 und 294 der einmal gewinkelten Form von im Wesentlichen nach der Y-Richtung zu im Wesentlichen nach der X-Richtung.
  • In einigen Ausführungsformen überlappt der durchgehende Abschnitt 380, der im Wesentlichen entlang der Y-Richtung läuft, den Endabschnitt 222 und der durchgehende Abschnitt 380, der im Wesentlichen entlang der X-Richtung läuft, überlappt den Endabschnitt 342. In einigen Ausführungsformen ist, ähnlich zu der Breite W23 des durchgehenden Abschnitts 230 und der Breite W22 des Endabschnitts 222, der in 2B gezeigt ist, die Breite W52 des durchgehenden Abschnitts 380 dort, wo der durchgehende Abschnitt 380 den Endabschnitt 222 überlappt, um den ersten Faktor breiter als die Breite W22 des Endabschnitts 222. Analog zu der Breite W33 des durchgehenden Abschnitts 330 in 2C geht die Breite W54 des durchgehenden Abschnitts 380 dort, wo der durchgehende Abschnitt 380 den Endabschnitt 342 überlappt, über die Breiten W22 und W24.
  • Die Verbindungsstruktur 350, die den einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt 380, wie in 2D gezeigt ist, aufweist, ist beispielhaft. Andere Weisen, einen durchgehenden Abschnitt zu implementieren, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Eine geweitete Breite beispielsweise, die der Breite W23 ähnelt, die in 2B gezeigt ist, kann dort verwendet werden, wo der durchgehende Abschnitt einen Endabschnitt der Metallleitung 240 überlappt, und eine Breite hat, die sich über die Breiten W22 und W24 der zugehörigen Metallleitungen 220 und 240 erstreckt, die der Breite W33 des durchgehenden Abschnitts 330 ähnelt, der in 2C gezeigt ist, kann dort angewendet werden, wo der durchgehende Abschnitt mit einem Endabschnitt der Metallleitung 220 überlappt.
  • 3 ist eine Schnittansicht der Verbindungsstruktur 100 entlang der Linie A-A', die in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 3 zeigt eine Single-Damascene-Verbindungsstruktur 102, die den durchgehenden Abschnitt 130 und die Metallleitung 120 über und in Kontakt mit dem durchgehenden Abschnitt 130 aufweist. Der durchgehende Abschnitt 130 wird auch als Single-Damascene-Durchkontaktierung bezeichnet. Das Ausbilden der Single-Damascene-Verbindungsstruktur 102 wird mit Bezug auf die 7A bis 7E beschrieben. Der durchgehende Abschnitt 130 weist eine Diffusionsbarriereschicht 130A und eine leitende Schicht 130B auf. Die Diffusionsbarriereschicht 130A bildet Seitenwände und eine Unterseite des Endabschnitts 130. Die leitende Schicht 130B füllt einen Raum, der von der Diffusionsbarriereschicht 130A umhüllt ist. Die Metallleitung 120 weist eine Diffusionsbarriereschicht 120A und eine Metallleitung 120B auf. Die Diffusionsbarriereschicht 120A bildet Seitenwände und eine Unterseite der Metallleitung 120 und die leitende Schicht 120B füllt einen Raum, der von der Diffusionsbarriereschicht 120A umhüllt ist. In einigen Ausführungsformen überlappt bei der Single-Damascene-Verbindungsstruktur 102 die Diffusionsbarriereschicht 120A an der Unterseite der Metallleitung 120 mit einer Oberseite der leitenden Schicht 130B des durchgehenden Abschnitts 130.
  • 4A ist eine Draufsicht einer Verbindungsstruktur 400A, die einen zweimal gewinkelten und im Wesentlichen nicht geweiteten durchgehenden Abschnitt 130 aufweist, wie in 2A gezeigt ist, der dich über die Enden der Metallleitungen 170 und 190 benachbart zu den entsprechenden Metallleitungen 120 und 140 erstreckt, die der durchgehende Abschnitt 130 überlappt, in Übereinstimmung mit einigen Ausführungsformen. Die Enden der Metallleitungen 170 und 190 sind so gezeigt, dass sie mit den entsprechenden Leitungen 154 und 156 übereinstimmen. Die Verbindungsstruktur 400A weist die Verbindungsstruktur 100 auf, die mit Bezug auf 2A beschrieben ist, und eine benachbarte Verbindungsstruktur 152. Elemente der Verbindungsstruktur, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die Elemente in der Verbindungsstruktur 150, die mit Bezug auf 1B beschrieben sind, gleichen den Elementen in der Verbindungsstruktur 150. In einigen Ausführungsformen wird die Metallleitung 170 benachbart zu der Metallleitung 120 ausgebildet und die Metallleitung 190 wird benachbart zu der Metallleitung 140 ausgebildet. Die Metallleitung 120 und die Metallleitung 170 enden in der Y-Richtung im Wesentlichen an der gleichen Leitung 154. Die Metallleitung 140 und die Metallleitung 190 starten entlang der Y-Richtung im Wesentlichen an der gleichen Leitung 156. Der durchgehende Abschnitt 130 erstreckt sich entlang der Richtung entgegen der Y-Richtung über die Leitung 154 hinaus, so dass er den Endabschnitt 122 auf der Seite der Metallleitung 170 mit Bezug auf die Leitung 154 zu erreicht, und erstreckt sich entlang der Y-Richtung über die Leitung 156 hinaus, so dass er den Endabschnitt 142 auf der Seite der Metallleitung 190 mit Bezug auf die Leitung 156 erreicht. In einigen Ausführungsformen, die mit Bezug auf 2A beschrieben sind, ist der durchgehende Abschnitt 130 dort nicht wesentlich geweitet, wo der durchgehende Abschnitt 130 die Endabschnitte 122 und 142 berührt, verglichen mit den entsprechenden Endabschnitten 122 und 142, um eine Beeinflussung von anderen Durchkontaktierungen in der gleichen Verbindungsschicht, etwa der Durchkontaktierungsschicht via0, zu verhindern.
  • In einigen Ausführungsformen bezieht sich der Ausdruck „im Wesentlich nicht geweitet“ darauf, dass eine erste Breite einer ersten Form nicht „wesentlich breiter“ als eine zweite Breite einer zweiten Form ist.
  • In einigen Ausführungsformen unterscheidet sich, wenn die erste Metallleitung „im Wesentlichen an der gleichen Leitung“ wie die zweite Metallleitung beginnt oder endet, ein erster Abstand der ersten Metallleitung hinter oder über die Leitung hinaus, der mit einem Ende der zweiten Metallleitung übereinstimmt, um einen Anteil von 5%, 10% oder 15% gegenüber der größten Länge der ersten Metallleitung parallel zu dem ersten Abstand. Andere Weisen, um die erste Metallleitung, die „im Wesentlichen an der gleichen Leitung“ wie die zweite Metallleitung beginnt oder endet, zu bestimmen, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Beispielsweise ist ein Verhältnis eines ersten Abstands der ersten Metallleitung hinter oder über die Leitung hinaus und eines zweiten Abstands der zweiten Metallleitung hinter oder über die Leitung hinaus größer als etwa 85%, 90% und 95% etc. Als weiteres Beispiel ist die Differenz zwischen der ersten Breite der ersten Metallleitung hinter oder über die Leitung hinaus und der zweiten Breite der zweiten Metallleitung hinter oder über die Leitung hinaus kleiner als ein Wert, der nach der Designspezifikation vorbestimmt ist.
  • 4B ist eine Draufsicht einer weiteren Verbindungsstruktur 400B, die einen zweimal gewinkelten und geweiteten durchgehenden Abschnitt 230 aufweist, wie in 2B gezeigt ist, die sich im Wesentlichen nicht über Enden der Metallleitungen 170 und 190 benachbart zu entsprechenden Metallleitungen 220 und 240 hinaus erstreckt, die der Endabschnitt 230 überlappt, in Übereinstimmung mit einigen Ausführungsformen. Die Enden der Metallleitungen 170 und 190 sind so gezeigt, dass sie mit den entsprechenden Leitungen 154 und 156 übereinstimmen. Verglichen mit der Verbindungsstruktur 400A, die mit Bezug auf 4A beschrieben ist, weist die Verbindungsstruktur 400B, die Verbindungsstruktur 200, die mit Bezug auf 2B beschrieben ist, anstatt der Verbindungsstruktur 100 auf, die mit Bezug auf 2A beschrieben ist. Verglichen mit der Metallleitung 120 der Verbindungsstruktur 100, erstreckt sich die Metallleitung 220 in der Länge im Wesentlichen entlang der Y-Richtung und über die Leitung 154 hinaus, an der die Metallleitung 170 endet. Verglichen mit der Metallleitung 140 der Verbindungsstruktur 100 startet die Metallleitung 240 im Wesentlichen entlang der Y-Richtung hinter der Leitung 156, an der die Metallleitung 190 beginnt, und erstreckt sich im Wesentlichen entlang der Y-Richtung. Verglichen mit dem durchgehenden Abschnitt 130 der Verbindungsstruktur 100 erstreckt sich der durchgehende Abschnitt 230 nicht wesentlich über die Leitung 154 entlang der Richtung entgegen der Y-Richtung hinaus und erstreckt sich nicht wesentlich über die Leitung 156 entlang der Y-Richtung hinaus. Der durchgehende Abschnitt 230 überlappt den Endabschnitt 222 auf der entgegengesetzten Seite der Metallleitung 170 mit Bezug auf die Leitung 154 und mit dem Endabschnitt 242 auf der entgegengesetzten Seite der Metallleitung 190 mit Bezug auf die Leitung 156. Da sie sich nicht über die Leitungen 154 und 156 hinaus erstreckt, ist der durchgehende Abschnitt 230 nicht angrenzend an andere Durchkontaktierungen in der gleichen Verbindungsschicht wie der Durchkontaktierungsschicht via0. Wenn eine erste Form nicht an eine zweite Form in der gleichen Verbindungsschicht angrenzt, liegen keine der Ränder der ersten Form an Rändern der zweiten Form an. Daher ist in einigen Ausführungsformen, die mit Bezug auf 2B beschrieben sind, der durchgehende Abschnitt 230 dort geweitet, wo der durchgehende Abschnitt 230 die Endabschnitte 222 und 242 berührt, verglichen mit den entsprechenden Endabschnitten 222 und 242.
  • In einigen Ausführungsformen bezieht sich der Begriff „erstreckt sich nicht wesentlich über die Leitung hinaus“ für eine Form darauf, dass ein erster Abstand des Endes der Form hinter oder über die Leitung hinaus innerhalb von 5%, 10% oder 15% gegenüber der größten Länge der Form parallel zu dem ersten Abstand liegt. Andere Weisen, um die erste Form, die „sich nicht wesentlich über die Leitung hinaus erstreckt“, zu bestimmen, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Beispielsweise ist ein erster Abstand eines Endes der Form hinter oder über die Leitung hinaus kleiner als ein Wert, der nach der Designspezifikation vorbestimmt ist.
  • 4C ist eine Draufsicht einer weiteren Verbindungsstruktur 400C, die einen im Wesentlichen rechtwinkligen durchgehenden Abschnitt 330 aufweist, wie in 2C gezeigt ist, der sich nicht wesentlich über die Enden der Metallleitungen 170 und 190 benachbart zu den entsprechenden Metallleitungen 220 und 240 hinaus erstreckt, die der durchgehende Abschnitt 330 überlappt, in Übereinstimmung mit einigen Ausführungsformen. Die Enden der Metallleitungen 170 und 190 sind so gezeigt, dass sie mit den entsprechenden Leitungen 154 und 156 übereinstimmen. Verglichen mit der Verbindungsstruktur 400B, die mit Bezug auf 4B beschrieben wurde, weist die Verbindungsstruktur 400C die Verbindungsstruktur 300 auf, die mit Bezug auf 2C beschrieben wurde, anstatt der Verbindungsstruktur 200. Verglichen mit dem durchgehenden Abschnitt 230 der Verbindungsstruktur 200, der die zweimal gewinkelte Form hat, hat der durchgehende Abschnitt 330 der Verbindungsstruktur 300 eine im Wesentlichen rechtwinklige Form. In einigen Ausführungsformen, die mit Bezug auf 2C beschrieben wurden, hat der durchgehende Abschnitt 330 eine Breite W33, die sich über die Breite W22 der Metallleitung 220 und die Breite W24 der Metallleitung 240 hinaus erstreckt. Die Breite W33 kann erhalten werden, da der durchgehende Abschnitt 330 sich nicht wesentlich über die Leitung 154 entlang der Richtung entgegen der Y-Richtung und der Leitung 156 entlang der Y-Richtung hinaus erstreckt. In einigen Ausführungsformen erstreckt sich, verglichen mit dem durchgehenden Abschnitt 230, der durchgehende Abschnitt 330 nicht so weit wie der durchgehende Abschnitt 230 im Wesentlichen entlang der Richtung entgegen der Y-Richtung und der Y-Richtung, um eine Berührung der Metallleitungen 170 und 190 zu vermeiden.
  • 4D ist eine Draufsicht einer weiteren Verbindungsstruktur 400D, die einen einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt 380 aufweist, wie in 2D gezeigt ist, der sich nicht wesentlich über die Enden der Metallleitungen 170 und 190 benachbart zu den entsprechenden Metallleitungen 220 und 240 hinaus erstreckt, die der durchgehende Abschnitt 380 überlappt, in Übereinstimmung mit einigen Ausführungsformen. Die Enden der Metallleitungen 170 und 190 sind so gezeigt, dass sie mit den entsprechenden Leitungen 154 und 156 übereinstimmen. Verglichen mit der Verbindungsstruktur 400C, die mit Bezug auf 4C beschrieben wurde, weist die Verbindungsstruktur 400D die Verbindungsstruktur 350 auf, die mit Bezug auf 2D beschrieben wurde, anstatt der Verbindungsstruktur 300, die mit Bezug auf 2C beschrieben wurde. Verglichen mit dem durchgehenden Abschnitt 230 der Verbindungsstruktur 200, der die im Wesentlichen rechtwinklige Form hat, hat der durchgehende Abschnitt 330 der Verbindungsstruktur 300 eine einmal gewinkelte Form. In einigen Ausführungsformen, die mit Bezug auf 2D beschrieben wurden, hat die Breite W52 des durchgehenden Abschnitts 380 dort, wo der durchgehende Abschnitt 380 mit dem Endabschnitt 222 überlappt, um den ersten Faktor breiter als die Breite W22 des Endabschnitts 222 und die Breite W54 des Endabschnitts 380 dort, wo der Endabschnitt 380 mit dem Endabschnitt 342 überlappt, geht über die Breiten W22 und W24. Analog zu den Ausführungsformen, die mit Bezug auf 4B beschrieben wurden, kann der durchgehende Abschnitt dort geweitet werden, wo der durchgehende Abschnitt 380 mit dem Endabschnitt 222 überlappt, da der durchgehende Abschnitt 380 sich nicht über die Leitung 154 hinaus erstreckt, und daher nicht an andere Durchkontaktierungen in der gleichen Verbindungsschicht angrenzt, etwa der Durchkontaktierungsschicht via0. Analog zu den Ausführungsformen, die mit Bezug auf 4C beschrieben wurden, kann die Breite W54 erhalten werden, da der durchgehende Abschnitt 380 sich nicht wesentlich über die Leitung 156 entlang der Y-Richtung hinaus erstreckt. Analog erstreckt sich der durchgehende Abschnitt 380 nur so weit im Wesentlichen entlang der Y-Richtung wie der durchgehende Abschnitt 330, um einen Kontakt mit den Metallleitungen 190 zu vermeiden.
  • Die Verbindungsstruktur 400D, die den einmal gewinkelten und im Wesentlichen geweiteten durchgehenden Abschnitt 380 aufweist, der sich nicht wesentlich über die Enden der Metallleitungen 170 und 190 angrenzend an die entsprechenden Metallleitungen 220 und 240 hinaus erstreckt, die der durchgehende Abschnitt 380 überlappt, wie in 4D gezeigt ist, ist beispielhaft. Andere Weisen, einen durchgehenden Abschnitt zu implementieren, der sich nicht wesentlich über Enden von Metallleitungen benachbart an Metallleitungen hinaus erstreckt, die der durchgehende Abschnitt überlappt, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Eine geweitete Breite beispielsweise, die der Breite W23 ähnelt, die in 2D gezeigt ist, kann dort verwendet werden, wo der durchgehende Abschnitt mit einem Endabschnitt der Metallleitung 240 überlappt, und eine Breite hat, die sich über die Breiten W22 und W24 der zugehörigen Metallleitungen 220 und 240 erstreckt, die der Breite W54 des durchgehenden Abschnitts 380 ähnelt, der in 2D gezeigt ist, kann dort angewendet werden, wo der durchgehende Abschnitt mit einem Endabschnitt der Metallleitung 220 überlappt.
  • 5 ist eine Perspektivansicht einer weiteren Verbindungsstruktur 500, die zusätzliche Abschnitte 536 aufweist, die unter und verbunden mit dem durchgehenden Abschnitt 130 ausgebildet sind, der in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Verbindungsstruktur 124 der Verbindungsstruktur 100, die in 1A gezeigt ist, weist die Verbindungsstruktur 522 weiter den zusätzlichen Abschnitt 536 in einer ersten Verbindungsschicht auf, etwa einer Kontaktschicht unter einer zweiten Verbindungsschicht wie der Durchkontaktierungsschicht via0, in der der durchgehende Abschnitt 130 liegt. Weiter berührt der zusätzliche Abschnitt 536 den durchgehenden Abschnitt 130. Elemente in der Verbindungsstruktur 500, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die Elemente in der Verbindungsstruktur 100, die mit Bezug auf 1A beschrieben wurden, gleichen den Elementen in der Verbindungsstruktur 100. In einigen Ausführungsformen hat der zusätzliche Abschnitt 536 eine rechtwinklige Form, die eine Breite hat, die sich über die Breite W12 (in 2A gekennzeichnet) der Metallleitung 120 und der Breite W14 (in 2A gekennzeichnet) der Metallleitung 140 erstreckt. Der zusätzliche Abschnitt 536 dient dazu, den Widerstand der Verbindungsstruktur 522 zu verringern.
  • Jede Verbindungsstruktur in diesem Abschnitt weist die Verbindungsstruktur unter einer Metallleitung auf und ist in einer Anordnung der Durchkontaktierungsschicht unter einer Metallschicht ausgebildet. Die Anordnung ist beispielsweise eine Durchkontaktierungsschicht via0 unter einer Metallschicht M1. Andere Verbindungsstrukturen, die eine Verbindungsstruktur unter einer Metallleitung aufweisen und in einer weiteren Anordnung einer Durchkontaktierungsschicht unter einer Metallschicht ausgebildet sind, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die weitere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 unter einer Metallschicht M2 und eine Durchkontaktierungsschicht via2 unter einer Metallschicht M3 etc. auf. Weiter liegen andere Verbindungsstrukturen, die eine Verbindungsstruktur über einer Metallleitung aufweisen und in einer weiteren Anordnung einer Durchkontaktierungsschicht über einer Metallschicht ausgebildet sind, in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die weitere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 über einer Metallschicht M2 und eine Durchkontaktierungsschicht via2 über einer Metallschicht M3 auf etc.
  • VERFAHREN ZUM AUSBILDEN EINER VERBINDUNGSSTRUKTUR MIT NICHTFLUCHTENDEN METALLLEITUNGEN, DIE DURCH EINE SCHICHT DARUNTER VERBUNDEN SIND
  • 6 ist eine Ansicht eines Layouts 600 zum Ausbilden der Verbindungsstruktur 100, die in 1A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 6 zeigt das Layout 600 zum Ausbilden des durchgehenden Abschnitts 130, der in 1A gezeigt ist, unter Verwendung von Einfachstrukturierung. In einigen Ausführungsformen weist das Layout 600 eine Metallschicht M1 und eine Durchkontaktierungsschicht via0 unter der Metallschicht M1 auf. Die Metallschicht M1 weist eine Metallleitung 620 und eine Metallleitung 640 auf. Die Metallleitung 620 erstreckt sich in der Länge wesentlich entlang einer Y-Richtung und endet an dem Endabschnitt 622. Die Metallleitung 640 startet von einem Endabschnitt 642 und erstreckt sich in der Länge wesentlich entlang der Y-Richtung. Die Metallleitung 640 ist gegenüber der Metallleitung 620 in der Y-Richtung nichtfluchtend angeordnet.
  • Das Layout 600, das eine Metallschicht M1 und eine Durchkontaktierungsschicht via0 aufweist, wie in 6 gezeigt ist, ist beispielhaft. Layouts, die andere Verbindungsschichten aufweisen, wie ein Layout, das eine Metallschicht M2 und eine Durchkontaktierungsschicht via1 aufweist, ein Layout, das eine Metallschicht M3 und eine Durchkontaktierungsschicht via2 aufweist, und ein Layout, das eine Metallschicht M4 und eine Durchkontaktierungsschicht via3 aufweist, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen weist die Durchkontaktierungsschicht via0 eine Form 630 auf, die den Endabschnitt 622 und denEndabschnitt 642 überlappt. Die Form 630 ist eine zweimal gewinkelte Form, die den durchgehenden Abschnitt 130, der in 2A gezeigt ist, durch Einfachstrukturierung ausbilden soll. Die Form 630 überlappt mit sowohl dem Endabschnitt 622 als auch dem Endabschnitt 642. Die zweimal gewinkelte Form wurde mit Bezug auf 2A beschrieben. Layouts zum Ausbilden der Verbindungsstrukturen 200 und 300, die eine entsprechende geweitete zweimal und eine einmal gewinkelte Form und eine rechtwinklige Form haben, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 7A bis 7E sind Schnittansichten, die ein Einfachstrukturierungsverfahren zur Herstellung der Verbindungsstruktur 100 in 1 unter Verwendung des Layouts 600 in 6 zeigen, in Übereinstimmung mit einigen Ausführungsformen. 7A bis 7E sind die Schnittansichten, die an der Linie B-B' in dem Layout 600 genommen sind. Da die Form 630 den durchgehenden Abschnitt 130 in 2A durch Einfachstrukturierung ausbilden soll, stehen die Schnittansichten, die an der Linie C-C' in dem Layout 600 genommen sind, mit den Schnittansichten, die an der Linie B-B' in dem Layout 600 genommen sind, in im Wesentlichen symmetrischer Beziehung. Elemente in den 7A bis 7E, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die der Elemente in der Verbindungsstruktur 100 gleichen den Elementen in der Verbindungsstruktur 100.
  • Mit Bezug auf 7A ist in einigen Ausführungsformen eine dielektrische Schicht 710 so vorgesehen, dass sie als Basis für einen Stapel von dielektrischen Schichten 711 dient, in denen eine Durchkontaktierungsöffnung 730, die der Form 630 in 6 entspricht, ausgebildet werden soll. In einigen Ausführungsformen wird die dielektrische Schicht 710 über einem Substrat (nicht gezeigt) ausgebildet, in und/oder auf dem Vorrichtungen ausgebildet werden. In einigen Ausführungsformen weist die dielektrische Schicht 710 einen Stapel von dielektrischen Schichten auf, etwa eine ILD-Schicht, die über einer Ätzstoppschicht gestapelt ist, wie mit Bezug auf die ILD-Schicht 714 und die Ätzstoppschicht 712 beschrieben ist.
  • In einigen Ausführungsformen wird ein Stapel von dielektrischen Schichten 711, der die Ätzstoppschicht 712 und die ILD-Schicht 714 aufweist, über der dielektrischen Schicht 710 ausgebildet. In einigen Ausführungsformen dient die Ätzstoppschicht 712 dazu, die darunterliegende Struktur zu schützen, wenn die ILD-Schicht 714 strukturiert wird. In einigen Ausführungsformen weist die Ätzstoppschicht 712 Siliziumkarbid, Siliziumnitrid, Siliziumoxinitrid oder andere geeignete Materialien auf. In einigen Ausführungsformen weist die ILD-Schicht 714 eine oder mehr low-k-dielektrische Materialien, um parasitäre Kapazität innerhalb oder zwischen Schichten zu verringern. Beispielhafte low-k-dielektrische Materialien umfassen Siliziumoxid, Borphosphorsilikatglas (BPSG), Tetraethylorthosilikat (TEOS), Spin-on-Glass (SOG), undotiertes Silikatglas (USG), Organosilicatglas (OSG), fluoriertes Silikatglas (FSG), hochdichtes Plasma-(HDP)-Oxid und plasmaunterstütztes TEOS (PETEOS). In einigen Ausführungsformen werden die Ätzstoppschicht 712 und die ILD-Schicht 714 beispielsweise durch chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), chemische Gasphasenabscheidung im hochdichten Plasma (HDP-CVD) und chemische Gasphasenabscheidung unter Atmosphärendruck (APCVD) abgeschieden.
  • In einigen Ausführungsformen wird die Durchkontaktierungsöffnung 730, die der Form 630 in 6 entspricht, in dem Stapel von dielektrischen Schichten 711 ausgebildet. In einigen Ausführungsformen wird die Durchkontaktierungsöffnung 730 ausgebildet, indem zuerst fotolithographische Techniken eingesetzt werden, um eine Struktur mit einer Öffnung zu erzeugen, die der Form 630 in 6 entspricht, und dann die Struktur auf den Stapel von dielektrischen Schichten 711 zu übertragen. Während der Übertragung der Struktur wird die ILD-Schicht 714 geätzt, indem beispielsweise reaktives Ionenätzen (RIE) verwendet wird. In einigen Ausführungsformen wird die ILD-Schicht 714 beispielsweise mit einer Verfahrenschemie geätzt, die aus der Gruppe gewählt ist, die aus CF4, CHF3, CH2F2, C4F8 und C4F6 besteht, und wird mit einer Umgebungsgasmischung kombiniert, die aus der Gruppe gewählt ist, die aus Ar, O2, N2, CO und He besteht. In einigen Ausführungsformen wird die Ätzstoppschicht 712 freigelegt, nachdem die ILD-Schicht 714 geätzt wurde, und wird so entfernt, beispielsweise durch Ionenbeschuss. In einigen Ausführungsformen enthalten die Beschuss-Ionen Argon-Ionen.
  • Mit Bezug auf 7B wird in einigen Ausführungsformen die Diffusionsbarriereschicht 130A, die mit Bezug auf 3 beschrieben wurde, einheitlich auf Seitenwänden und einer Unterseite der Durchkontaktierungsöffnung in 7A abgeschieden. In einigen Ausführungsformen weist die Diffusionsbarriereschicht 130A Tantal (Ta), Tantalnitrid (TaN), Titan (Ti), Titannitrid (TiN) oder mehrere Schichten dieser Materialien auf. In einigen Ausführungsformen wird die Diffusionsbarriereschicht 130A beispielsweise durch Sputtern abgeschieden.
  • In einigen Ausführungsformen wird die leitende Schicht 130B, die mit Bezug auf 3 beschrieben wurde, so abgeschieden, dass sie den verbleibenden Raum der Durchkontaktierungsöffnung 730 füllt. In einigen Ausführungsformen weist die leitende Schicht 130B Kupfer auf. Um die Durchkontaktierungsöffnung 730 beispielsweise mit Kupfer zu füllen, wird eine Kupfer-Keimschicht über der Diffusionsbarriereschicht 130A abgeschieden. Dann wird ein Kupfer-Plattierverfahren ausgeführt, um die Durchkontaktierungsöffnung 730 zu füllen. In einigen Ausführungsformen wird die Durchkontaktierungsöffnung 730 so gefüllt, dass das Kupfer über eine obere Fläche des Stapels der dielektrischen Schichten 711 hinausragt.
  • In einigen Ausführungsformen wird ein Planarisierverfahren ausgeführt, um das Kupfer über der oberen Fläche des Stapels von dielektrischen Schichten 711 zu entfernen. In einigen Ausführungsformen ist das Planarisierverfahren ein chemisch-mechanisches Polier-(CMP)-Verfahren. Dann wird der durchgehende Abschnitt 130 der Single-Damascene-Verbindungsstruktur 102 (in 3 gezeigt) in der Durchkontaktierungsöffnung 730 ausgebildet.
  • Mit Bezug auf 7C wird ein Stapel aus dielektrischen Schichten 715, in dem ein Graben 720 (in 7D gezeigt), der der Metallleitung 620 in 6 entspricht, ausgebildet werden soll, über dem Stapel von dielektrischen Schichten 711 und dem durchgehenden Abschnitt 130 ausgebildet. Der Stapel aus dielektrischen Schichten 715 weist eine Ätzstoppschicht 716 und eine Zwischenmetall-Dielektrikums-(IMD)-Schicht 718 auf. Beispielhafte Materialien und Abscheidungsverfahren der Ätzstoppschicht 716 und der IMD-Schicht 718 wurden mit Bezug auf die entsprechende Ätzstoppschicht 712 und die ILD-Schicht 714 in 7A beschrieben.
  • Mit Bezug auf 7D wird der Graben 720, der der Metallleitung 620 in 6 entspricht, in dem Stapel von dielektrischen Schichten 715 ausgebildet. Der Graben 720 legt einen Teil einer oberen Fläche des durchgehenden Abschnitts 130 frei. In einigen Ausführungsformen wird der Graben 720 ausgebildet, indem zuerst die fotolithographischen Techniken angewendet werden, um ein Struktur zu erzeugen, die der Metallleitung 620 in 6 entspricht, und dann die Struktur auf den Stapel von dielektrischen Schichten 715 zu übertragen. Beispielhafte Verfahren zum Übertragen der Struktur auf den Stapel von dielektrischen Schichten 715, um den Graben 720 auszubilden, wurden mit Bezug auf das Ausbilden der Durchkontaktierungsöffnung 730 in 7A angegeben.
  • Mit Bezug auf 7E wird die Diffusionsbarriereschicht 120A, die mit Bezug auf 3 beschrieben wurde, einheitlich auf Seitenwänden und einer Unterseite des Grabens 720 in 7D abgeschieden. Eine leitende Schicht 120B, die mit Bezug auf 3 beschrieben wurde, wird so abgeschieden und planarisiert, dass sie den übrigen Raum des Grabens 720 füllt. Beispielhafte Materialien und Ausbildungsverfahren der Diffusionsbarriereschicht 120A und der leitenden Schicht 120B wurden mit Bezug auf die entsprechende Diffusionsbarriereschicht 130A und die leitende Schicht 130B in 7B beschrieben. So wird die Metallleitung 120 der Single-Damascene-Verbindungsstruktur 102 in dem Graben 720 ausgebildet. In einigen Ausführungsformen überlappt und berührt die Diffusionsbarriereschicht 120A an der Unterseite des Grabens 720 eine obere Fläche der leitenden Schicht 120B in der Durchkontaktierungsöffnung 730, die in 7A gezeigt ist.
  • 8 ist ein Diagramm eines Layouts 800 zum Ausbilden der Verbindungsstruktur 200 in 2B, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Schicht 600 in 6 soll das Layout 800 den durchgehenden Abschnitt 230 in 2B durch Mehrfachstrukturierung ausbilden. In einigen Ausführungsformen weist das Layout 800 die Metallschicht M1 und die Durchkontaktierungsschicht via0 unter der Metallschicht M1 auf. Die Metallschicht M1 des Layouts 800 weist eine Metallleitung 820 und eine Metallleitung 840 auf. Verglichen mit den Metallleitungen 620 und 640 liegen die Metallleitungen 820 und 840 näher zusammen, analog zu den Metallleitungen 220 und 240, die mit Bezug auf 2B beschrieben wurden.
  • Das Layout 800, das die Metallschicht M1 und die Durchkontaktierungsschicht via0, wie in 8 gezeigt, aufweist, ist beispielhaft. Layouts 800, die andere Verbindungsschichten wie eine Metallschicht M2 und eine Durchkontaktierungsschicht via1, eine Metallschicht M3 und eine Durchkontaktierungsschicht via2, eine Metallschicht M4 und eine Durchkontaktierungsschicht via3 etc. aufweisen, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen weist die Durchkontaktierungsschicht via0 des Layouts 800 Strukturierungsschichten P1 und P2 zur Mehrfachstrukturierung auf. Die Strukturierungsschicht P1 weist eine Form 831 auf, die mit einem Endabschnitt 822 der Metallleitung 820 überlappt. Die Strukturierungsschicht P2 weist eine Form 833 auf, die mit einem Endabschnitt 842 der Metallleitung 840 überlappt.
  • In einigen Ausführungsformen ist, wie in 8 gezeigt ist, die Kombination 830 der Formen 831 und 833 eine zweimal gewinkelte Form. In einigen Ausführungsformen überlappt die Form 831 nicht mit dem Endabschnitt 842 und die Form 833 überlappt nicht mit dem Endabschnitt 822. In anderen Ausführungsformen (nicht gezeigt) überlappt die Form 831 teilweise mit dem Endabschnitt 842, erstreckt sich aber nicht dorthin, wo die Form 833 sich in eine Richtung entgegen der Y-Richtung wendet. Die Form 833 überlappt teilweise mit dem Endabschnitt 822, erstreckt sich aber nicht dorthin, wo die Form 831 sich in die Y-Richtung wendet.
  • In anderen Ausführungsformen (nicht gezeigt) ist die Kombination 830 im Wesentlichen eine rechtwinklige Form. In einigen Ausführungsformen überlappt die Form 831 teilweise mit dem Endabschnitt 842 und erstreckt sich dorthin, wo die Form sich in die Richtung entgegen der Y-Richtung wendet. Die Form 833 überlappt teilweise mit dem Endabschnitt 822 und erstreckt sich dorthin, wo die Form 831 sich in die Y-Richtung wendet.
  • 9A und 9C sind Schnittansichten, die ein Mehrfachstrukturierungsverfahren zum Ausbilden des durchgehenden Abschnitts 230, der in 2B gezeigt ist, mit dem Layout 800, das in 8 gezeigt ist, zeigen, in Übereinstimmung mit einigen Ausführungsformen. Die Schnittansichten 900 und 902, die am oberen Teil der entsprechenden 9A bis 9C gezeigt sind, sind an der Linie D-D' in dem Layout 800 genommen. Die Schnittansichten 901, 903 und 905, die an unteren Teilen der entsprechenden 9A bis 9C gezeigt sind, sind an der Linie E-E' in dem Layout 800 genommen. Verglichen mit der Durchkontaktierungsöffnung 730, die in 7A gezeigt ist und Abschnitte im Wesentlichen entlang der entsprechenden Linien B-B' und C-C' aufweist, die in 6 gezeigt sind, die durch Einfachstrukturierung ausgebildet werden, weist die Durchkontaktierungsöffnung 930, die in 9C gezeigt ist, Abschnitte auf, die im Wesentlichen an den entsprechenden Linien D-D' und E-E' genommen sind, die in 8 gezeigt sind, die durch Mehrfachstrukturierung ausgebildet werden.
  • Mit Bezug auf 9A ist in einigen Ausführungsformen eine dielektrische Schicht 910 vorgesehen, die als Basis für einen Stapel von dielektrischen Schichten 911 dient, in dem eine Durchkontaktierungsöffnung 930, die mit Bezug auf 9C beschrieben wird und der Kombination 830 der Formen 831 und 833 entspricht, die in 8 gezeigt ist, ausgebildet werden soll. Der Stapel von dielektrischen Schichten 911 weist eine Ätzstoppschicht 912 und eine ILD-Schicht 914 auf, die über der dielektrischen Schicht 910 ausgebildet sind. Beispielhafte Materialien und Ausbildungsverfahren der dielektrischen Schicht 910, der Ätzstoppschicht 912 und der ILD-Schicht 914 wurden für ähnliche Elemente 710, 712 und 714 angegeben, die in 7A gezeigt sind.
  • In einigen Ausführungsformen wird eine Hartmaskenschicht 916, die strukturiert werden soll, in Übereinstimmung mit den Formen 831 und 833 über dem Stapel von dielektrischen Schichten 911 ausgebildet. Indem fotolithographische Techniken verwendet werden, wird die Hartmaskenschicht 916 mit einer Öffnung 931 strukturiert, die der Form 831 entspricht, die in 8 gezeigt ist, wie in der Schnittansicht 900 gezeigt ist. Dagegen wird die Hartmaskenschicht 916 nicht mit einer Öffnung 933 (in 9B gezeigt) strukturiert, die der Form 833 entspricht, die in 8 gezeigt ist, wie in der Schnittansicht 901 gezeigt ist. Ein oder mehrere Materialien der Hartmaskenschicht 916 werden so gewählt, dass die Struktur in der Hartmaskenschicht 916 auf den darunterliegenden Stapel aus dielektrischen Schichten 911 übertragen werden kann, ohne die Struktur in der Hartmaskenschicht 916 wesentlich zu zerstören.
  • Mit Bezug auf 9B wird in einigen Ausführungsformen, indem die fotolithographischen Techniken angewendet werden, die Hartmaskenschicht 916 mit der Öffnung 933 strukturiert, die der Form 833 entspricht, die in 8 gezeigt ist, wie in der Schnittansicht 903 gezeigt ist. Die Öffnung 931 überlappt mit der Öffnung 933 und bildet eine einzige Öffnung mit einer Form, die der Kombination 830 der Formen 831 und 833 entspricht.
  • Mit Bezug auf 9C wird die Struktur in der Hartmaskenschicht 916 (in 9B gezeigt) auf den darunterliegenden Stapel von dielektrischen Schichten 911 übertragen, um die Durchkontaktierungsöffnung 930 auszubilden, die der Kombination 830 der Formen 831 und 833 entspricht, die in 8 gezeigt sind. Beispielhafte Verfahren zum Übertragen der Struktur auf den Stapel von dielektrischen Schichten 911 wurden mit Bezug auf 7A angegeben.
  • Nachfolgende Verfahren zum Ausbilden der Single-Damascene-Verbindungsstruktur 102, die in 3 gezeigt ist, ähneln denen, die mit Bezug auf 7B bis 7E beschrieben wurden.
  • Jedes Verfahren dieses Abschnitts dient zum Ausbilden einer entsprechenden Verbindungsstruktur, die eine Verbindungsstruktur unter einer Metallleitung aufweist und in einer Anordnung einer Durchkontaktierungsschicht unter einer Metallschicht ausgebildet ist. Die Anordnung besteht beispielsweise aus einer Durchkontaktierungsschicht via0 unter einer Metallschicht M1. Verfahren zum Ausbilden anderer Verbindungsstrukturen, die eine Verbindungsstruktur unter einer Metallleitung aufweisen und in einer anderen Anordnung einer Durchkontaktierungsschicht unter einer Metallschicht ausgebildet werden, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die andere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 unter einer Metallschicht M2 und eine Durchkontaktierungsschicht via2 unter einer Metallschicht M3 etc. auf. Weiter liegen Verfahren zum Ausbilden anderer Verbindungsstrukturen, die eine Verbindungsstruktur über einer Metallleitung aufweisen und in einer anderen Anordnung einer Durchkontaktierungsschicht über einer Metallschicht ausgebildet werden, in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die andere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 über einer Metallschicht M1 und eine Durchkontaktierungsschicht via2 über einer Metallschicht M2 etc. auf.
  • Verbindungsstruktur mit nichtfluchtenden Metallleitungen, die durch eine Schicht darüber verbunden sind
  • Wie mit Bezug auf 1A beschrieben, kann die Vorgehensweise, einen Teil einer Metallleitung on-grid zu verlegen, die Metallleitung zu biegen und einen weiteren Teil der Metallleitung nicht on-grid zu verlegen, wie in anderen Ansätzen, aufgrund der unidirektionalen Routing-Regel und der Regel, die Umgebung für feste Abstände für Metallleitungen in einigen der Metallschichten für moderne Technologieknoten nicht aufrechterhalten werden. Einige Ausführungsformen, die diese Beschränkungen aufheben, bestehen darin, nichtfluchtende Metallleitungen in einer ersten Verbindungsschicht durch eine Verbindungsstruktur zu verbinden, die einen durchgehenden Abschnitt in einer zweiten Verbindungsschicht über der ersten Verbindungsschicht aufweist. Nach der unidirektionalen Routing-Regel läuft eine Metallleitung in der ersten Verbindungsschicht in eine Richtung, die im Wesentlichen rechtwinklig zu der einer Metallleitung in der zweiten Verbindungsschicht ist. Damit der durchgehende Abschnitt in der zweiten Verbindungsschicht über die nichtfluchtenden Metallleitungen in der ersten Verbindungsschicht verbunden werden kann, wird eine Metallleitung mit einer Breite verlegt, die breit genug ist, um mit den nichtfluchtenden Metallleitungen zu überlappen, und Schnittlinien auf entgegengesetzten Seiten der nichtfluchtenden Metallleitungen werden aufgepresst, um die Metallleitung in der zweiten Verbindungsschicht in den durchgehenden Abschnitt zu formen.
  • 10A ist eine Perspektivansicht einer Verbindungsstruktur 1000 mit nichtfluchtenden Metallleitungen 120 und 140 (die denen gleichen, die in 1A gezeigt sind), die durch eine darüber liegende Verbindungsschicht verbunden werden, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Verbindungsstruktur 100, die in 1A gezeigt ist, weist die Verbindungsstruktur 1000 einen durchgehenden Abschnitt 1030 in einer Metallschicht M2 über einer Metallschicht M1 auf, in der die nichtfluchtenden Metallleitungen 120 und 140 liegen. In einigen Ausführungsformen weist die Verbindungsstruktur 1000 die Metallleitungen 120 und 140 und eine Verbindungsstruktur 1024 auf, die die Metallleitung 120 mit der Metallleitung 140 verbindet. Elemente in der Verbindungsstruktur 1000, die mit den gleichen Bezugszeichen gekennzeichnet sind, wie die der Elemente in der Verbindungsstruktur 100, die mit Bezug auf 1A beschrieben wurden, gleichen den Elementen in der Verbindungsstruktur 100. Die Verbindungsstruktur 1024 weist den durchgehenden Abschnitt 1030 und Durchkontaktierungen 1025 und 1035 auf. Der durchgehende Abschnitt 1030 wird in der Metallschicht M2 ausgebildet und überlappt die Metallleitungen 120 und 140 in der Metallschicht M1. Die Durchkontaktierungen 1025 und 1035 werden in einer Durchkontaktierungsschicht via1 zwischen den Metallleitungen M1 und M2 ausgebildet. Die Durchkontaktierungen 1025 und 1035 verbinden den durchgehenden Abschnitt 1030 mit den entsprechenden Metallleitungen 120 und 140 dort, wo der durchgehende Abschnitt 1030 mit den entsprechenden Metallleitungen 120 und 140 überlappt.
  • 10B ist eine Perspektivansicht einer weiteren Verbindungsstruktur 1050, wobei fluchtende Metallleitungen 170 und 190 (die gleichen wie die, die in 1B gezeigt sind) durch eine darüber liegende Verbindungsschicht verbunden sind, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Verbindungsstruktur 150, die in 1B gezeigt ist, weist die Verbindungsstruktur 1050 einen durchgehenden Abschnitt 1080 in der Metallschicht M2 über der Metallschicht M1 auf, in der die fluchtenden Metallleitungen 170 und 190 liegen. In einigen Ausführungsformen weist die Verbindungsstruktur 1050 die Metallleitungen 170 und 190 und eine Verbindungsstruktur 1074 auf, die die Metallleitung 170 mit der Metallleitung 190 verbindet. Elemente in der Verbindungsstruktur 1050, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die der Elemente in der Verbindungsstruktur 150, die mit Bezug auf 1B beschrieben sind, gleichen den Elementen in der Verbindungsstruktur 150. Analog zu der Verbindungsstruktur 1024, die mit Bezug auf 10A beschrieben ist, weist die Verbindungsstruktur 1074 einen durchgehenden Abschnitt 1080 und Durchkontaktierungen 1075 und 1085 auf. Der durchgehende Abschnitt 1080 ist in der Metallschicht M2 ausgebildet und überlappt die Metallleitungen 170 und 190 in der Metallschicht M1. Die Durchkontaktierungen 1075 und 1085 sind in der Durchkontaktierungsschicht via1 zwischen den Metallschichten M1 und M2 ausgebildet. Die Durchkontaktierungen 1075 und 1085 verbinden den durchgehenden Abschnitt 1080 mit den entsprechenden Metallleitungen 170 und 190 dort, wo der durchgehende Abschnitt 1080 mit den entsprechenden Metallleitungen 170 und 190 überlappt.
  • Die Verbindungsstruktur 1000 oder 1050, die in einer Metallschicht M1, einer Durchkontaktierungsschicht via1 und einer Metallschicht M2 ausgebildet ist, ist beispielhaft. Verbindungsstrukturen, die in anderen Verbindungsschichten ausgebildet sind, etwa eine Verbindungsstruktur, die in einer Metallschicht M2, einer Durchkontaktierungsschicht via2 und einer Metallschicht M3 ausgebildet ist, eine Verbindungsstruktur, die in einer Metallschicht M3, einer Durchkontaktierungsschicht via3 und einer Metallschicht M4 ausgebildet ist, und eine Verbindungsstruktur, die in einer Metallschicht M4, einer Durchkontaktierungsschicht via4 und einer Metallschicht M5 ausgebildet ist, etc., liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 11A ist eine Draufsicht der Verbindungsstruktur 1000, die in 10A gezeigt ist und die im Wesentlichen nicht geweitete Durchkontaktierungen aufweist, in Übereinstimmung mit einigen Ausführungsformen. 11A zeigt, dass der durchgehende Abschnitt 1030 mit einem Endabschnitt 1022 der Metallleitung 120 und einem Endabschnitt 1042 der Metallleitung 140 überlappt und mit den Endabschnitten 1022 und 1042 über die entsprechenden Durchkontaktierungen 1025 und 1035 verbunden ist. Der durchgehende Abschnitt 1030 hat eine im Wesentlichen rechtwinklige Form, die mit einem Endabschnitt 1022 der Metallleitung 120 und einem Endabschnitt 1042 der Metallleitung 140 überlappt. Die rechtwinklige Form hat eine Breite W103 über eine Breite W102 des Endabschnitts 1022 und eine Breite W104 des Endabschnitts 1042. In einigen Ausführungsformen überlappt die Breite W102 mit der Breite W104. In anderen Ausführungsformen überlappt die Breite W102 nicht mit der Breite W104. In einigen Ausführungsformen berühren die Durchkontaktierungen 1025 und 1035 die entsprechenden Endabschnitte 1022 und 1042 und liegen vollständig in den zugehörigen Endabschnitten 1022 und 1042. In einigen Ausführungsformen ist eine Breite W1025 der Durchkontaktierung 1025 kleiner oder etwa gleich der Breite W102 des Endabschnitts 1022. Analog ist die Breite W1035 der Durchkontaktierung 1035 kleiner oder etwa gleich der Breite W104 des Endabschnitts 1042.
  • In einigen Ausführungsformen haben die Durchkontaktierungen 1025 und 1035 eine einheitliche Breite. Daher sind die Breite W1025 und die Breite W1035 gleich groß. In anderen Ausführungsformen haben die Durchkontaktierungen 1025 und 1035 keine einheitlichen Breiten, etwa die für abgeschrägte Leitungsenden. Daher sind die Breite W1025 und die Breite W1035 die höchste Breite der Durchkontaktierungen 1025 bzw. 1035. Die Weise, wie die Breite W1025 der Durchkontaktierung 1025 definiert ist, kann in der ganzen vorliegenden Offenbarung ähnlich verwendet werden.
  • 11B ist eine Draufsicht einer weiteren Verbindungsstruktur 1100, die geweitete Durchkontaktierungen aufweist, in Übereinstimmung mit einigen Ausführungsformen. Die Verbindungsstruktur 1100 weist eine Metallleitung 1120, eine Metallleitung 1140, einen durchgehenden Abschnitt 1030 und Durchkontaktierungen 1125 und 1135 auf, die der entsprechenden Metallleitung 120, Metallleitung 140, dem durchgehenden Abschnitt 1030 und den Durchkontaktierungen 1025 und 1035 entsprechen, die mit Bezug auf 11A beschrieben sind. Die Metallleitung 1120 weist einen Endabschnitt 1122 auf und die Metallleitung 1140 weist einen Endabschnitt 1142 auf, an dem der durchgehende Abschnitt 1140 mit den entsprechenden Metallleitungen 1120 und 1140 überlappt. Die Endabschnitte 1122 und 1142 entsprechen den entsprechenden Endabschnitten 1022 und 1042, die mit Bezug auf 11A beschrieben wurden. Elemente in der Verbindungsstruktur 1100, die mit den gleichen Bezugszeichen gekennzeichnet sind wie die Elemente der Verbindungsstruktur 1000, die in 11A gezeigt sind, gleichen den Elementen in der Verbindungsstruktur 1000. Verglichen mit den Metallleitungen 120 und 140 erstrecken sich die Metallleitungen 1120 und 1140 weiter auf einander zu. Verglichen mit den Durchkontaktierungen 1025 und 1035 sind die Durchkontaktierungen 1125 und 1135 geweitet und liegen teilweise auf den entsprechenden Endabschnitten 1122 und 1142. In einigen Ausführungsformen ist die Breite W1125 der Durchkontaktierung 1125 um einen zweiten Faktor von etwa 30% größer als die Breite W122, um den Widerstand der Durchkontaktierung 1125 zu verringern, und/oder die Breite W1135 der Durchkontaktierung 1135 ist um einen zweiten Faktor von etwa 30% größer als die Breite W114 des Endabschnitts 1124, um den Widerstand der Durchkontaktierung 1135 zu verringern. Eine untere Grenze des zweiten Faktors ist größer als etwa 10%, so dass die Breite W1125 größer als die Breite W112 ist und/oder die Breite W1135 ist, unter Berücksichtigung der Abweichungen zwischen den Breiten W1125 und W112 und/oder den Breiten W1135 und W112, die durch Verfahrensabweichungen eingeführt werden, breiter als die Breite W114. Eine obere Grenze des zweiten Faktors wird so festgelegt, dass ein minimaler Abstand mit benachbarten Strukturen in der Durchkontaktierungsschicht via1 erhalten bleibt.
  • 12 ist eine Schnittansicht der Verbindungsstruktur 1000, genommen an der Linie F-F', die in 11A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 12 zeigt eine Damascene-Struktur 1002, die mit der Metallleitung 120 verbunden ist. Die Damascene-Struktur 1002 weist den durchgehenden Abschnitt 1030 auf, der in der Metallschicht M2 über der Metallschicht M1 ausgebildet ist, in der die Metallleitung 120 liegt, und die Durchkontaktierung 1025, die in der Durchkontaktierungsschicht via1 zwischen den Metallschichten M1 und M2 ausgebildet ist, um den durchgehenden Abschnitt 1030 mit der Metallleitung 120 zu verbinden. In einigen Ausführungsformen ist die Damascene-Struktur 1002 eine Dual-Damascene-Struktur. In anderen Ausführungsformen ist die Damascene-Struktur 1002 eine Single-Damascene-Struktur.
  • 13A ist eine Draufsicht einer Verbindungsstruktur 1300A, die nicht geweitete Durchkontaktierungen 1025 und 1035 aufweist, wie in 11A gezeigt ist, die mit den entsprechenden Endabschnitten 1022 und 1042 verbunden sind, die sich nicht wesentlich über die Enden der Metallleitungen 170 und 190 angrenzend an die entsprechenden Metallleitungen 120 und 140 hinaus erstrecken, in Übereinstimmung mit einigen Ausführungsformen. Die Enden der Metallleitungen 170 und 190 sind so gezeigt, dass sie mit den entsprechenden Leitungen 154 und 156 übereinstimmen. Die Verbindungsstruktur 1300A weist die Verbindungsstruktur 1000, die mit Bezug auf 11A beschrieben wurde, und die angrenzende Verbindungsstruktur 152 auf, die mit Bezug auf 4A beschrieben wurde. Der durchgehende Abschnitt 1030 erstreckt sich über die Leitungen 154 und 156 so hinaus, dass er den Endabschnitt 1022 auf der Seite der Metallleitung 170 und den Endabschnitt 1042 auf der Seite der Metallleitung 190 überlappt. Die Durchkontaktierung 1025 ist zwischen dem Endabschnitt 1022 und dem durchgehenden Abschnitt 1030 neben der Metallleitung 170 verbunden und die Durchkontaktierung 1025 ist zwischen dem Endabschnitt 1042 und dem durchgehenden Abschnitt 1030 neben der Metallleitung 190 verbunden. In einigen Ausführungsformen, die mit Bezug auf 11A beschrieben wurden, sind die Durchkontaktierungen 1025 und 1035 nicht wesentlich breiter als die entsprechenden Endabschnitte 1022 und 1042, um eine Beeinflussung mit anderen Durchkontaktierungen in der Durchkontaktierungsschicht via1 zu vermeiden.
  • 13B ist eine Draufsicht einer weiteren Verbindungsstruktur 1300B, die geweitete Durchkontaktierungen 1125 und 1135 aufweist, wie in 11B gezeigt ist, und die mit den entsprechenden Endabschnitten 1122 und 1142 verbunden sind, die sich über Enden der Metallleitungen 170 und 190 angrenzend an die entsprechenden Metallleitungen 1120 und 1140 hinaus erstreckt und die der durchgehende Abschnitt 1130 überlappt, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Verbindungsstruktur 1300A, die mit Bezug auf 13A beschrieben wurde, weist die Verbindungsstruktur 1300B die Verbindungsstruktur 1100, die mit Bezug auf 11B beschrieben wurde, anstatt der Verbindungsstruktur 1000 auf. Verglichen mit den Metallleitungen 120 und 140 der Verbindungsstruktur 1000 läuft die Metallleitung 1120 parallel zu der Metallleitung 170 und endet jenseits einer Linie 154, an der die Metallleitung 170 endet, und die Metallleitung 1140 beginnt hinter einer Linie 156, an der die Metallleitung 190 beginnt, und läuft parallel zu der Metallleitung 190. In einigen Ausführungsformen überlappt der durchgehende Abschnitt 1130 den Endabschnitt 1122 den Endabschnitt 1122 über der Linie 154 und dem Endabschnitt 1142 über der Linie 156. Verglichen mit den Durchkontaktierungen 1025 und 1035 der Verbindungsstruktur 1000 liegen die Durchkontaktierungen 1125 nicht auf der Seite, auf der die Metallleitung 170 mit Bezug auf die Leitung 154 liegt, und die Durchkontaktierung 1135 liegt nicht auf der Seite, auf der die Metallleitung 190 mit Bezug auf die Leitung 156 liegt. Daher sind die Durchkontaktierungen 1125 und 1135 nicht angrenzend an andere Durchkontaktierungen in der Durchkontaktierungsschicht via1. Daher sind in einigen Ausführungsformen, die mit Bezug auf 11B beschrieben wurden, die Durchkontaktierungen 1125 und 1135 breiter als die entsprechenden Endabschnitte 1122 und 1142.
  • Jede Verbindungsstruktur in diesem Abschnitt weist eine Verbindungsstruktur über einer Metallleitung auf und ist in einer Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht über einer ersten Metallschicht ausgebildet. Die Anordnung ist beispielsweise eine Durchkontaktierungsschicht via1 und eine Metallschicht M2 über einer Metallschicht M1. Andere Verbindungsstrukturen, die eine Verbindungsstruktur über einer Metallleitung aufweisen und in einer weiteren Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht über einer ersten Metallschicht ausgebildet sind, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Eine andere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via2 und eine Metallschicht M3 über einer Metallschicht M2 und eine Durchkontaktierungsschicht via3 und eine Metallschicht M4 über einer Metallschicht M3 etc. Weiter liegen andere Verbindungsstrukturen, die eine Verbindungsstruktur unter einer Metallleitung aufweisen und in einer weiteren Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht unter einer ersten Metallschicht ausgebildet sind, in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die weitere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 und eine Metallschicht M1 unter einer Metallschicht M2 und eine Durchkontaktierungsschicht via2 und eine Metallschicht M2 unter einer Metallschicht M3 etc. auf.
  • Verfahren zum Ausbilden einer Verbindungsstruktur mit nichtfluchtenden Metallleitungen, die durch eine Schicht darüber verbunden sind
  • 14 ist eine Ansicht eines Layouts 1400 zum Ausbilden der Verbindungsstruktur 1000, die in 10A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 14 zeigt das Layout 1400 zum Ausbilden des durchgehenden Abschnitts 1030, der in 10A gezeigt ist, indem Schnittlinien 1427 und 1428 auf einer Metallleitung 1426 angebracht werden, die im Wesentlichen rechtwinklig zu und überlappend mit den nichtfluchtenden Metallleitungen 620 und 640 laufen. Das Layout 1400 weist eine Metallschicht M1, eine Metallschicht M2 über der Metallschicht M1, eine Durchkontaktierungsschicht via1, die zwischen der Metallschicht M1 und der Metallschicht M2 liegt, und eine Schnittlinienschicht, die in die Metallschicht M2 eingeprägt ist. Die Metallschicht M1 weist die Metallleitung 620 und die Metallleitung 640 auf. Die Metallleitung 620 erstreckt sich der Länge nach wesentlich in der Y-Richtung und endet an einem Endabschnitt 1422. Die Metallleitung 640 beginnt an einem Endabschnitt 1442 und erstreckt sich der Länge nach wesentlich in der Y-Richtung. Die Metallleitung 640 ist gegenüber der Metallleitung 620 in der Y-Richtung nichtfluchtend angeordnet.
  • Das Layout 1400, das eine Metallschicht M1, eine Metallschicht M2 über der Metallschicht M1, eine Durchkontaktierungsschicht, die zwischen der Metallschicht M1 und der Metallschicht M2 liegt, und eine Schnittlinienschicht aufweist, die auf der Metallschicht M2 aufgeprägt ist, ist beispielhaft. Layouts, die andere Verbindungsschichten aufweisen, etwa ein Layout, das eine Metallschicht M2, eine Metallschicht M3 über der Metallschicht M2, eine Durchkontaktierungsschicht via2, die zwischen der Metallschicht M2 und der Metallschicht M3 liegt, und eine Schnittlinienschicht aufweist, die auf der Metallschicht M2 aufgeprägt ist, ein Layout, das eine Metallschicht M3, eine Metallschicht M4 über der Metallschicht M3, eine Durchkontaktierungsschicht via3, die zwischen der Metallschicht M3 und der Metallschicht M4 liegt, und eine Schnittlinienschicht aufweist, die auf die Metallschicht M3 aufgeprägt ist, etc., liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • In einigen Ausführungsformen weist die Metallschicht M2 die Metallleitung 1426 auf, die sich der Länge nach wesentlich ein eine X-Richtung erstreckt, und hat eine Breite W1426, so dass die Metallleitung 1426 den Endabschnitt 1422 und dem Endabschnitt 1442 überlappt. Die X-Richtung ist im Wesentlichen rechtwinklig zu der Y-Richtung. Die Schnittlinienschicht weist Schnittlinien 1427 und 1428 auf, die über die Breite W1426 der Metallleitung 1426 laufen und auf entgegengesetzten Seiten der Endabschnitte 1427 und 1428 konfiguriert sind. Ein Abschnitt 1430 der Metallleitung 1426 zwischen den Schnittlinien 1427 und 1428 entspricht dem durchgehenden Abschnitt 1030, der in 10A gezeigt ist.
  • In einigen Ausführungsformen weist die Durchkontaktierungsschicht via1 eine Durchkontaktierung 1425, die den Endabschnitt 1422 in der Metallschicht M1 mit der Metallleitung 1426 in der Metallschicht M2 verbindet, und eine Durchkontaktierung 1435 auf, die den Endabschnitt 1442 in der Metallschicht M1 mit der Metallleitung 1426 in der Metallschicht M2 verbindet.
  • Das Layout 1400, die eine Metallschicht M1, eine Durchkontaktierungsschicht via1, eine Metallschicht M2 und Schnittlinienschichten 1427 und 1428 aufweist, ist beispielhaft. Layouts, die in anderen Verbindungsschichten ausgebildet sind, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 15A bis 15D sind Schnittansichten, die ein Verfahren zur Herstellung der Verbindungsstruktur 1000, das in 10A gezeigt ist, unter Verwendung des Layouts 1400 zeigen, das in 14 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 15A und 15D sind Schnittansichten, die an der Linie G-G' in dem Layout 1400 genommen sind. 15A bis 15D zeigen das Ausbilden des durchgehenden Abschnitts 1030 und der Durchkontaktierung 1035, die in 10A gezeigt sind, unter Verwendung eines Via-First-Dual-Damascene-Verfahrens und der Schnittlinien 1427 und 1428, die in 14 gezeigt sind. Wie in 15C ist, weist für das Via-First-Dual-Damascene-Verfahren das Ausbilden einer Dual-Damascene-Öffnung 1504 zuerst das Ätzen einer Durchkontaktierungsöffnung 1535B und dann das Ätzen eines Grabens 1530 auf. Die Schnittlinien 1427 und 1428 entsprechen Sperrstrukturen 1527 und 1528 (in 15B gezeigt) in einer Öffnung 1526 (in 15A gezeigt), um die Metallleitung 1426 herzustellen, die in 14 gezeigt ist. Elemente in den 15A bis 15D, die mit den gleichen Bezugszeichen gekennzeichnet sind wie diejenigen der Elemente in der Verbindungsstruktur 1000, gleichen den Elementen in der Verbindungsstruktur 1000.
  • Mit Bezug auf 15A ist in einigen Ausführungsformen eine dielektrische Schicht 1510 vorgesehen. Eine Metallleitung 140 wird in der dielektrischen Schicht 1510 ausgebildet. Die Metallleitung 140 wird gemäß der Metallleitung 640 in dem Layout 1400 ausgebildet, das in 14 gezeigt ist. Eine dielektrische Schicht 1512 wird über der dielektrischen Schicht 1510 ausgebildet. Eine dielektrische Schicht 1514 wird über der dielektrischen Schicht 1512 ausgebildet. In einigen Ausführungsformen sind alle dielektrischen Schichten 1510, 1512 und 1514 Stapel von dielektrischen Schichten, die dem Stapel von dielektrischen Schichten 711 ähneln, der mit Bezug auf 7A beschrieben wurde. Eine Öffnung 1535 wird in den dielektrischen Schichten 1512 und 1514 ausgebildet, um einen Teil der Metallleitung 140 freizulegen. Die Öffnung 1535A wird wie die Durchkontaktierung 1435 in dem Layout 1400 ausgebildet. Eine Hartmaskenschicht 1516 wird über der dielektrischen Schicht 1514 ausgebildet und wird mit einer Öffnung 1526 strukturiert, die mit der Öffnung 1535A verbunden ist. Die Öffnung 1526 entspricht der Metallleitung 1426 in dem Layout 1400. In einigen Ausführungsformen wird eine Schutzschicht (nicht gezeigt), die einen Teil der Öffnung 1535A füllt, gefüllt, bevor die Hartmaskenschicht 1516 abgeschieden und strukturiert wird, um freigelegte Strukturen an einer Unterseite der Öffnung 1535A zu schützen. Beispielhafte Materialien und Ausbildungsverfahren für alle dielektrischen Schichten 1510, 1512 und 1514 wurden mit Bezug auf 7A angegeben. Beispielhafte Materialien und Ausbildungsverfahren für die Metallleitung 140 wurden mit Bezug auf die 7D und 7E angegeben. Beispielhafte Ausbildungsverfahren für die Öffnung 1535A wurden mit Bezug auf 7A angegeben. Beispielhafte Ausbildungsverfahren zum Strukturieren der Hartmaskenschicht 1516, um eine Öffnung 1526 herzustellen, wurden mit Bezug auf 9A angegeben.
  • Mit Bezug auf 15B wird in einigen Ausführungsformen eine Hartmaskenschicht 1518 über der Hartmaskenschicht 1516 und in der Öffnung 1526 ausgebildet, die in 15A gezeigt ist, und wird so strukturiert, dass Sperrstrukturen 1527 und 1528 in der Öffnung 1526 ausgebildet werden. Die Sperrstrukturen 1527 und 1528 entsprechen den entsprechenden Schnittlinien 1427 und 1428 in dem Layout 1400. Beispielhafte Ausbildungsverfahren zum Strukturieren der Hartmaskenschicht 1518, um die Blockstrukturen 1527 und 1528 auszubilden, wurden mit Bezug auf 9A angegeben.
  • Mit Bezug auf 15C wird in einigen Ausführungsformen die Struktur, die durch die Hartmaskenschichten 1516 und 1518 gemeinsam gebildet wird und in 15B gezeigt ist, auf die darunterliegende dielektrische Schicht 1514 übertragen und bildet so eine Dual-Damascene-Öffnung 1504, die den Graben 1530 in der dielektrischen Schicht 1514 über der Durchkontaktierungsöffnung 1535B in der dielektrischen Schicht 1512 aufweist. Der Graben 1530 entspricht dem Abschnitt 1430 der Metallleitung 1426 in dem Layout 1400. Die Durchkontaktierungsöffnung 1535B entspricht der Durchkontaktierung 1435 in dem Layout 1400. Beispielhafte Verfahren zum Übertragen der Struktur auf die dielektrische Schicht 1514 wurden mit Bezug auf 7A angegeben.
  • Mit Bezug auf 15D wird in einigen Ausführungsformen eine Diffusionsbarriereschicht 1030A einheitlich auf Seitenwänden und einer Unterseite der Dual-Damascene-Öffnung 1504 abgeschieden, die in 15C gezeigt ist. Eine leitende Schicht 1030B wird abgeschieden, um den übrigen Raum der Dual-Damascene-Öffnung 1504 zu füllen. Beispielhafte Materialien und Ausbildungsverfahren der Diffusionsbarriereschicht 1030A und der leitenden Schicht 1030B wurden mit Bezug auf 7B beschrieben. Die sich ergebende Struktur ist eine Dual-Damascene-Verbindungsstruktur 1004, die den durchgehenden Abschnitt 1030 und die Durchkontaktierung 1035 aufweist. Die Durchkontaktierung 1035 verbindet die darunterliegende Metallleitung 140 mit dem darüber liegenden durchgehenden Abschnitt 1030.
  • Der durchgehende Abschnitt 1030 und die Durchkontaktierung 1035 werden durch das Via-First-Dual-Damascene-Verfahren ausgebildet. Der durchgehende Abschnitt 1030 und die Durchkontaktierung 1035, die mit einem anderen Dual-Damascene-Verfahren oder einem Single-Damascene-Verfahren ausgebildet werden, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • Jedes Verfahren dieses Abschnitts dient zum Ausbilden einer entsprechenden Verbindungsstruktur, die eine Verbindungsstruktur über einer Metallleitung aufweist und in einer Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht über einer Metallschicht ausgebildet ist. Die Anordnung besteht beispielsweise aus einer Durchkontaktierungsschicht via1 und einer Metallschicht M2 über einer ersten Metallschicht. Verfahren zum Ausbilden anderer Verbindungsstrukturen, die eine Verbindungsstruktur über einer Metallleitung aufweisen und in einer anderen Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht über einer ersten Metallschicht ausgebildet werden, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die andere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via2 und eine Metallschicht M3 über einer Metallschicht M2 und eine Durchkontaktierungsschicht via3 und eine Metallschicht M4 über einer Metallschicht M3 etc. auf. Weiter liegen Verfahren zum Ausbilden anderer Verbindungsstrukturen, die eine Verbindungsstruktur unter einer Metallleitung aufweisen und in einer anderen Anordnung einer Durchkontaktierungsschicht und einer zweiten Metallschicht unter einer ersten Metallschicht ausgebildet werden, in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Die andere Anordnung weist beispielsweise eine Durchkontaktierungsschicht via1 und eine Metallschicht M1 unter einer Metallschicht M2 und eine Durchkontaktierungsschicht via2 und eine Metallschicht M2 unter einer Metallschicht M3 etc. auf.
  • VERBINDUNGSSTRUKTUR MIT NICHTFLUCHTENDEN METALLLEITUNGEN, DIE DURCH EINE SCHICHT DARUNTER/DARÜBER VERBUNDEN SIND, UND ANGRENZENDE METALLLEITUNGEN, DIE DURCH EINE SCHICHT DARÜBER/DARUNTER VERBUNDEN SIND
  • 16 ist eine Perspektivansicht einer Verbindungsstruktur 1600, die die Verbindungsstruktur 100, die in 1A gezeigt ist, und die Verbindungsstruktur 1050 aufweist, die in 10B gezeigt ist und angrenzend an die Verbindungsstruktur 100 ausgebildet ist, in Übereinstimmung mit einigen Ausführungsformen. Die Metallleitungen 170 und 190 sind in der gleichen Verbindungsschicht wie die Metallleitungen 120 und 140 ausgebildet. Die Metallleitung 170 angrenzend an die Metallleitung 120 ausgebildet und die Metallleitung 190 ist angrenzend an die Metallleitung 140 ausgebildet. Die Metallleitungen 120 und 140 sind mit einander durch den durchgehenden Abschnitt 130 in der Verbindungsschicht unter der der Metallleitungen 120 und 140 verbunden. Um Beeinflussung mit dem durchgehenden Abschnitt 130 zu vermeiden, sind die Metallleitungen 170 und 190 mit einander durch den durchgehenden Abschnitt 1080 in der Verbindungsschicht über der der Metallleitungen 170 und 190 und der Durchkontaktierungen 1075 und 1085 verbunden, die zwischen den entsprechenden Metallleitungen 170 und 190 und dem durchgehenden Abschnitt 1080 liegen.
  • 17 ist eine Perspektivansicht einer Verbindungsstruktur 1700, die die Verbindungsstruktur 1000, die in 10A gezeigt ist, und die Verbindungsstruktur 150 aufweist, die in 1B gezeigt ist und angrenzend an die Verbindungsstruktur 1000 ausgebildet ist, in Übereinstimmung mit einigen Ausführungsformen. Die Metallleitungen 170 und 190 sind in der gleichen Verbindungsschicht wie die Metallleitungen 120 und 140 ausgebildet. Die Metallleitung 170 ist angrenzend an die Metallleitung 120 ausgebildet und die Metallleitung 190 ist angrenzend an die Metallleitung 140 ausgebildet. Um Beeinflussung mit dem durchgehenden Abschnitt 1030 und den Durchkontaktierungen 1025 und 1035 zu vermeiden, die die Metallleitungen 120 und 140 durch die Verbindungsschichten über denen der Metallleitungen 120 und 140 verbinden, sind die Metallleitungen 170 und 190 mit einander durch den durchgehenden Abschnitt 180 in der Verbindungsschicht unter der der Metallleitungen 170 und 190 verbunden.
  • SINGLE-PORT-SRAM-MAKRO
  • Ein SRAM-Makro weist einen Abschnitt für einen Array von Arrayzellen auf, die Metallleitungen, die nicht on-grid verlegt werden, und einen Abschnitt für Eingabe- und Ausgabe-(IO)-Schaltungen aufweisen, die Metallleitungen aufweisen, die on-grid verlegt werden, um Fläche des SRAM-Makros zu sparen. Aufgrund der Einschränkungen von Lithographiewerkzeugen wird Optical Proximity Correction (OPC) auf Layouts unter Berücksichtigung eines Abstands zwischen Strukturen oder einer Kombination von Strukturen unterschiedlicher Formen angewendet. Damit die Zahl von Kombinationen von Strukturen von verschiedenen Strukturformen und -abständen, die durch OPC korrigiert werden, beschränkt bleibt, werden die Strukturen on-grid verlegt. Damit jede der Strukturen on-grid verlegt werden kann, ist die Struktur auf Grundlage von Gitterpunkten als Schnittpunkte von Gitterlinien angeordnet. Daher hat eine beispielhafte Struktur, die on-grid verlegt wurde, einen Strukturrand entlang einer Mittellinie zwischen Gitterlinien. Für das SRAM-Makro wird der Abschnitt für die IC-Schaltung on-grid verlegt, um Ausführungszeit des OPC zu sparen. Auf der anderen Seite wird der Abschnitt des Arrays von Arrayzellen nicht auf Grundlage der Gitterpunkte angeordnet, obwohl er wie jede Struktur, die on-grid verlegt wird, der entsprechenden Verdrahtungsregel folgt. Daher weist eine beispielhafte Struktur, die nicht on-grid verlegt ist, einen Strukturrand neben der Mittellinie zwischen den Gitterlinien auf. Die Ausführungsformen, die mit Bezug auf 1 bis 17 beschrieben wurden, werden auf das SRAM-Makro angewendet und sind nachfolgend beschrieben.
  • 18 ist ein Blockdiagramm eines SRAM-Makros 1800 in einem Halbleiterchip, in Übereinstimmung mit einigen Ausführungsformen. Jede der Verbindungsstrukturen 124 und 1024, die mit Bezug auf die entsprechenden 1A, 2A bis 5 und 10A, 11A bis 13B beschrieben sind, kann angewendet werden, um Verbindungen zwischen Arrayzellen SC81 ... SC84 und eine IO-Schaltung 1804 auszubilden, die in 18 gezeigt sind.
  • Das SRAM-Makro 1800 weist einen Array von Arrayzellen SC11, SC12 ... SC84, eine Wortleitungsdecodierschaltung 1802 und eine IO-Schaltung 1804 auf. Arten von Zugriffsschaltungen umfassen die IO-Schaltung 1804 und die Wortleitungsdecodierschaltung 1802. Die Wortleitungsdecodierschaltung 1802 steuert mehrere Wortleitungen WL1, WL2 ... und WL8 an, die über entsprechende Reihen von Arrayzellen SC11 bis SC14, SC21 bis SC24, ... und SC81 bis SC84 laufen. Die IO-Schaltung 1804 steuert mehrere Paare einer Bitleitung und einer komplementären Bitleitung BL1 und BLB1, BL2 und BLB2 ... und BL4 und BLB4 an oder tastet sie ab, die über entsprechende Spalten von Arrayzellen SC11 bis SC81, SC12 bis SC82, ... und SC14 bis SC84 laufen. Arten von Zugriffsleitungen umfassen Wortleitungen, etwa die mehreren Wortleitungen WL1, WL2 ... und WL8, und Paare von Bitleitung und komplementärer Bitleitung, etwa die mehreren Paare
    von Bitleitung und komplementärer Bitleitung BL1 und BLB1, BL2 und BLB2 ... und BL4 und BLB4. Die Wortleitungsdecodierschaltung 1802 ist so konfiguriert, dass sie eine Reihenadresse empfängt, die Reihenadresse decodiert und beispielsweise eine Entsprechende der Wortleitungen WL1, WL2 ... und WL8 festlegt, so dass eine entsprechende Reihe der Arrayzellen SC11 bis SC14, SC21 bis SC24 ... oder SC81 bis SC84 zum Zugriff ausgewählt wird. Für eine Leseoperation wird die IO-Schaltung 1804 so konfiguriert, dass sie die mehreren Paare von Bitleitung und komplementärer Bitleitung BL1 und BLB1, BL2 und BLB2 ... und BL4 und BLB4 vorlädt und ausgleicht, Daten auf Grundlage von verschiedenen Spannungen erfasst, die durch die mehreren Paare von Bitleitung und komplementärer Bitleitung BL1 und BLB1, BL2 und BLB2 ... und BL4 und BLB4 empfangen werden, erfasst und erfasste Daten in einer Spalte auswählt, die zu einer decodierten Spaltenadresse gehören und die Daten ausgibt. Für einen Schreibvorgang ist die IO-Schaltung 1804 so konfiguriert, dass sie eine Spalte auswählt, die zu einer decodierten Spaltenadresse zur Eingabe von Daten gehört, und das ausgewählte Paar von Bitleitung und komplementärer Bitleitung BL1 und BLB1, BL2 und BLB2 ... und BL4 und BLB4 in Übereinstimmung mit den Eingangsdaten so ansteuert, dass die Eingangsdaten in den Arrayzellen SC11, SC12 ... oder SC84 gespeichert werden. Schaltungen, die die Wortleitungsdecodierschaltung 1802 und die IO-Schaltung 1804 aufweisen, können periphere Schaltungen genannt werden.
  • Der Einfachheit halber ist das SRAM-Makro 1800 beispielhaft als Single-Bank-Arbeitsspeicher gezeigt. In einigen Ausführungsformen weist ein Single-Bank-Arbeitsspeicher einen Array von Arrayzellen und Zugriffsschaltungen auf, die auf den Array von Arrayzellen unter einem flachen Adressierungsschema zugreifen kann. Bei einem flachen Adressierungsschema hat jede Arrayzelle in dem Array von Arrayzellen eine Reihenadresse und eine Spaltenadresse. In einigen Ausführungsformen weist ein Multi-Bank-Speicher mehrere Arrays von Arrayzellen und globale und lokale Adressierungsschaltungen auf, die auf die mehreren Arrays von Arrayzellen unter einem hierarchischen Adressierungsschema zugreift. Bei dem hierarchischen Adressierungsschema hat jede Arrayzelle in den mehreren Arrays von Arrayzellen eine Bankadresse, eine Reihenadresse und eine Spaltenadresse. Ein Multi-Bank-Speicher, der eine Speicherbank und seine lokalen Zugriffs schaltungen ähnlich dem SRAM-Makro 1800 aufweist, liegt in dem erwogenen Schutzumfang der vorliegenden Offenbarung. In einem typischen Beispiel hat das SRAM-Makro 1800 8 Reihen und 4 Spalten. Andere Mengen von Reihen und/oder Spalten liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • 19 ist ein Schaltungsdiagramm einer Schaltung 1806, die in 18 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 19 zeigt Elemente in der Schaltung 1806 und die Verbindungen zwischen den Elementen. In einigen Ausführungsformen weist die Schaltung 1806 die Arrayzelle SC81 und einen entsprechenden Abschnitt der IO-Schaltung 1804 auf (in 18 gekennzeichnet). Andere Arrayzellen SC82, S83, ... und S84 und die zugehörigen Abschnitte der IO-Schaltung 1804 ähneln denen der Schaltung 1806. Die Arrayzelle SC81 weist ein kreuzgekoppeltes Inverterpaar 1808 und Pass-Gates 1810 und 1812 auf. Das Inverterpaar 1808 ist so konfiguriert, dass es Daten an einem Speicherknoten SN und einem komplementären Speicherknoten SNB speichert. Das Pass-Gate 1810 ist so konfiguriert, dass es die Bitleitung BL1 mit dem Speicherknoten SN in Antwort auf ein Signal auf der Wortleitung WL8 verbindet. Das Pass-Gate 1812 ist so konfiguriert, dass es die komplementäre Bitleitung BLB1 mit dem komplementären Speicherknoten SNB in Antwort auf das Signal auf der Wortleitung WL8 verbindet.
  • In einigen Ausführungsformen weist ein erster Inverter des Inverterpaars 1808 einen p-Feldeffekttransistor (PFET) PU1 und einen NFET PD1 auf. Eine Source des PFETs PU1 ist mit einem Hochspannungs-Versorgungsknoten CVdd verbunden. Eine Source des NFETs PD1 ist mit einem Niederspannungs-Versorgungsknoten CVss verbunden. Drains des PFETs PU1 und des NFETs PD1 sind zusammen mit dem Speicherknoten SN verbunden. Gates des PFETs PU1 und des NFETs PD1 sind zusammen mit dem Knoten SNB verbunden. Ein zweiter Inverter des Inverterpaars weist einen PFET PU2 und einen NFET PD2 auf. Eine Source des PFETs PU2 ist mit dem Hochspannungs-Versorgungsknoten CVdd verbunden. Eine Source des NFETs PD2 ist mit dem Niederspannungs-Versorgungsknoten CVss verbunden. Drains des PFETs PU2 und des NFETs PD2 sind zusammen mit dem komplementären Speicherknoten SNB verbunden. Gates des PFETs PU2 und des NFETs PD1 sind zusammen mit dem Speicherknoten SN verbunden.
  • Das Pass-Gate 1810 weist einen NFET PG1 auf, bei dem ein Gate mit der Wortleitung WL8 verbunden ist, eine erste Source oder Drain mit dem Speicherknoten SN verbunden ist und eine zweite Source oder Drain mit der Bitleitung BL1 verbunden ist. Das Pass-Gate 1812 weist einen NFET PG2 auf, bei dem ein Gate mit der Wortleitung WL8 verbunden ist, eine erste Source oder Drain mit dem komplementären Speicherknoten SNB verbunden ist und eine zweite Source mit der komplementären Bitleitung BLB1 verbunden ist.
  • In einigen Ausführungsformen weist der Abschnitt der IO-Schaltung 1804, der zu der Arrayzelle SC81 gehört, einen PFET Q1, einen PFET Q2 und einen PFET Q3 für Vorlade- und Ausgleichsoperationen, einen PFET Q4 und einen PFET Q6 für Leseoperationen und einen NFET Q5 und einen NFET Q7 für Schreiboperationen. Der Einfachheit halber sind Schaltungen zum Erfassen der Daten von dem Paar von Bitleitung und komplementärer Bitleitung BL1 und BLB1 für die Leseoperation und zum Ansteuern des Paars von Bitleitung und komplementärer Bitleitung BL1 und BLB1 für die Schreiboperationen nicht gezeigt.
  • In einigen Ausführungsformen sind eine erste Source oder Drain und eine zweite Source oder Drain des PFETs Q1 mit der zugehörigen Bitleitung BL1 und komplementären Bitleitung BLB1 verbunden und ein Gate des PFETs Q1 ist mit einer Steuerleitung BLEQB verbunden. Sources der PFETs Q2 und Q3 sind zusammen mit einer Vorladungsspannung VPC verbunden, Drains der PFETs Q2 und Q3 sind mit der entsprechenden Bitleitung BL1 und komplementären Bitleitung BLB1 verbunden und Gates der PFETs Q2 und Q3 sind zusammen mit der Steuerleitung BLEQB verbunden. Während der Ausgleichs- und Vorladeoperation vor der Leseoperation schaltet ein Signal der Steuerleitung BLEQB die PFETs Q1, Q2 und Q3 an. Während die PFETs Q2 und Q3 die Bitleitung BL1 und die komplementäre Bitleitung BLB1 auf die Vorladespannung VPC vorladen, trägt der PFET Q1 zur Beschleunigung dieses Vorgangs durch den Ausgleich der Anfangsspannungen auf der Bitleitung BL1 und der komplementären Bitleitung BLB1 bei.
  • In einigen Ausführungsformen verbinden während einer Leseoperation die PFETs Q4 und Q6 mit der entsprechenden Bitleitung BL1 und komplementären Bitleitung BLB1 mit einer zugehörigen Ausgabedatenleitung DL und komplementären Ausgabedatenleitung DLB in Antwort auf ein Signal an der Steuerleitung YB_READ. In einigen Ausführungsformen wird das Signal auf der Steuerleitung YB_READ gemäß einer decodierten Spaltenadresse erzeugt. In einigen Ausführungsformen verbinden während einer Schreiboperation die NFETs Q5 und Q7 eine zugehörige Eingabedatenleitung WT und eine komplementäre Eingabedatenleitung WC mit der zugehörigen Bitleitung BL1 und komplementären Bitleitung BLB1 in Antwort auf ein Signal an einer Steuerleitung Y_WRITE. In einigen Ausführungsformen wird das Signal auf der Steuerleitung Y_WRITE gemäß einer decodierten Spaltenadresse erzeugt.
  • Eine Bitleitung (z.B. BL1) wird auch als Datenleitung bezeichnet und eine komplementäre Bitleitung (z.B. BLB1) wird auch als komplementäre Datenleitung bezeichnet, da die Bitleitung BL1 und die komplementäre Bitleitung BLB1 Daten für die Arrayzelle (SC81) übertragen.
  • Ansichten von Layouts und Schnittansichten von verschiedenen Ausführungsformen der Schaltung 1806, die in 19 gezeigt sind, sind unten gezeigt. Bei IC-Fertigungsverfahren bildet Fron-End-of-Line (FEOL) Vorrichtungen wie Transistoren aus und scheidet daher Vorrichtungsschichten und eine Kontaktschicht von einer Schicht via0 ab und Back-End-of-Line (BEOL) bildet einen Stapel von Verbindungsschichten zum Verbinden der Vorrichtungen aus und scheidet daher gestapelte Metallschichten über der Kontaktschicht und eine entsprechende Durchkontaktierungsschicht ab, die zwischen jedem Paar von gestapelten Metallschichten liegt. Als Beispiel weist eine Schnittansicht 2202, die in 23 der Schaltung 1806 gezeigt ist, einen FEOL-Abschnitt 2204 und einen BEOL-Abschnitt 2206 auf, die während dem entsprechenden FEOL und BEOL des IC-Fertigungsverfahrens ausgebildet werden. Ein Layout 2000, das in 20 gezeigt ist, weist Schichten der Arrayzelle SC81 in dem FEOL-Abschnitt 2204 auf, ein Layout 2002, das in 21 gezeigt ist, weist Schichten der Arrayzelle SC81 auf, die nicht in der Schnittansicht 2202 gezeigt sind. Weiter weist ein Layout 2200A, das in 22A gezeigt ist, Schichten bis zu der Metallschicht M1 der Arrayzelle SC81 und der IO-Schaltung 1804 in dem BEOL-Abschnitt 2206 auf. Das Layout weist weiter eine Durchkontaktierungsschicht via0 in dem BEOL-Abschnitt 2206 auf, um strukturelle Verbindungen von dem FEOL-Abschnitt 2204 zu dem BEOL-Abschnitt 2206 zu zeigen. Analog können die Schichten 2000, die in 20 gezeigt sind, das Layout 2002, das in 21 gezeigt ist, und alle Schichten von 2200B, die in 22B gezeigt ist, bis 2200D, die in 22D gezeigt ist, und 2400, die in 24 gezeigt ist, auf diejenigen in dem FEOL-Abschnitt 2204 und dem BEOL-Abschnitt 2206 abgebildet werden, die in 23 gezeigt sind. Die Schichten in dem Layout 2000, die in 20 gezeigt sind, das Layout 2002, das in 21 gezeigt ist, und ein Layout 2500, das in 25 gezeigt ist, können auf diejenigen in dem FEOL-Abschnitt 2504 und dem BEOL-Abschnitt 2506 abgebildet werden, die in 26 gezeigt sind. Schichten in einem Layout 2700, das in 27 gezeigt ist, einem Layout 2702, das in 28 gezeigt ist, und einem Layout, das in 29 gezeigt ist, können auf diejenigen in dem FEOL-Abschnitt 2904 und dem BEOL-Abschnitt 2906 abgebildet werden, die in 30 gezeigt sind. Schichten in dem Layout 2700, das in 27 gezeigt ist, dem Layout 2702, das in 28 gezeigt ist, und einem Layout 3100, das in 31 gezeigt ist, können auf diejenigen in dem FEOL-Abschnitt 3104 und dem BEOL-Abschnitt 3106 abgebildet werden, die in 30 gezeigt sind.
  • 20 ist eine Ansicht des Layouts 2000, das die Schichten der Arrayzelle SC81 (in 19 gezeigt) in dem FEOL-Abschnitt 2204 und die Durchkontaktierungsschicht via0 in der Arrayzelle SC81 in dem BEOL-Abschnitt 2206 aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 20 zeigt die Arrayzelle SC81, die durch Ein-Grat-FinFETs implementiert wird. In einigen Ausführungsformen ist der FEOL-Abschnitt der Abschnitt der IC-Fertigung, in der Vorrichtungen wie Transistoren strukturiert werden, und weist beispielsweise Vorrichtungs schichten und eine Kontaktschicht vor der Schicht via0 auf. Das Ausbilden der Schicht via0 gilt als Beginn des Back-End-of-Line-(BEOL)-Teils der IC-Fertigung. Der BEOL-Abschnitt weist einen Stapel von mit einander verbundenen Schichten über dem FEOL-Abschnitt auf. Der Stapel von miteinander verbundenen Schichten wird mit gestapelten Metallschichten und einer zugehörigen Durchkontaktierungsschicht ausgebildet, die zwischen je einem Paar von gestapelten Metallschichten liegt. Die Schicht via0 ist die Durchkontaktierungsschicht zwischen der FEOL-Kontaktschicht und einer BEOL-Metallschicht M1. Um die folgende Beschreibung zu vereinfachen, werden Formen in den Layouts durch Bezeichnungen von entsprechenden strukturellen Elementen in dem Halbleiterchip bezeichnet.
  • Die Layoutansicht 2000 weist mehrere Gratstrukturen 2014, 2016, 2018 und 2020, die sich der Länge nach im Wesentlichen in einer Y-Richtung erstrecken, mehrere Gatestrukturen 2022, 2024, 2026 und 2028, die sich der Länge nach im Wesentlichen in der X-Richtung erstrecken, mehrere Source- oder Drainkontakte 2030, 2024, 2028, 2042, 2050, 2054, 2058 und 2062, die sich der Länge nach in der X-Richtung erstrecken, mehrere Gatekontakte 2036, 2046, 2056 und 2066, die sich der Länge nach in der Y-Richtung erstrecken, und mehrere Durchkontaktierungen 2032, 2040, 2044, 2048, 2052, 2060, 2064 und 2068 in der Schicht via0 auf. In einigen Ausführungsformen erstreckt sich die X-Richtung entlang Reihen von Arrayzellen, etwa der Reihe von Arrayzellen SC11, SC12 ... SC14, die in 18 gezeigt sind, und die Y-Richtung erstreckt sich entlang Spalten von Arrayzellen, etwa der Spalte von Arrayzellen SC11, SC21 ... und SC81.
  • Die FETs PU1, PD1, PG1, PU2, PD2 und PG2, die in 19 gezeigt sind, sind in drei Abschnitten in der Layoutansicht 2000 angeordnet. Der mittlere Abschnitt ist den PFETs PU1 und PU2 zugeordnet, der linke Abschnitt entspricht den NFETs PD1 und PG1 und der rechte Abschnitt gehört zu den NFETs PD2 und PG2. Für den PFET PU1 weist das Gate die Gatestruktur 2022 auf, die einen Kanalbereich in der Gratstruktur 2014 umgibt, und die Source und der Drain weisen einen zugehörigen Sourcebereich und einen Drainbereich in der Gratstruktur 2014 auf entgegengesetzten Seiten der Gatestruktur 2022 auf. Für den NFET PD1 weist das Gate die Gatestruktur 2022 auf, die einen ersten Kanalbereich in der Gratstruktur 2016 umgibt, und die Source und der Drain weisen einen entsprechenden Sourcebereich und Drainbereich in der Gratstruktur 2016 auf entgegengesetzten Seiten der Gatestruktur 2022 auf. Da die Gatestruktur 2022 sowohl die Gratstruktur 2014 als auch die Gratstruktur 2016 überdeckt, sind die Gates des PFET PU1 und des NFETs PD1 miteinander verbunden. Für den NFET PG1 weist das Gate die Gatestruktur 2024 auf, die den zweiten Kanalbereich in der Gratstruktur 2016 umgibt, und die erste Source oder Drain und die zweite Source oder Drain weisen einen zugehörigen ersten Source- oder Drainbereich und einen zweiten Source- oder Drainbereich in der Gratstruktur 2016 auf entgegengesetzten Seiten der Gatestruktur 2024 auf. Der Drainbereich für den NFET PD1 und der erste Source- oder Drainbereich für den NFET PG1 sind in der Gratstruktur 2016 gemeinsam. Da der Drainbereich für den NFET PD1 und der erste Source- oder Drainbereich für den NFET PG1 in der Gratstruktur 2016 gemeinsam sind, ist der Drain des NFETs PD1 mit der ersten Source oder Drain des NFET PG1 verbunden.
  • Für den PFET PU2 weist das Gate die Gatestruktur 2026 auf, die einen Kanalbereich in der Gratstruktur 2018 umgibt, und die Source und der Drain weisen einen zugehörigen Sourcebereich und einen Drainbereich in der Gratstruktur 2018 auf entgegengesetzten Seiten der Gatestruktur 2026 auf. Für den NFET PD2 weist das Gate die Gatestruktur 2026 auf, die einen ersten Kanalbereich in der Gratstruktur 2020 umgibt, und die Source und der Drain weisen einen entsprechenden Sourcebereich und Drainbereich in der Gratstruktur 2020 auf entgegengesetzten Seiten der Gatestruktur 2026 auf. Da die Gatestruktur 2026 sowohl die Gratstruktur 2018 als auch die Gratstruktur 2020 überdeckt, sind die Gates des PFET PU2 und des NFETs PD2 miteinander verbunden. Für den NFET PG2 weist das Gate die Gatestruktur 2028 auf, die den zweiten Kanalbereich in der Gratstruktur 2020 umgibt, und die erste Source oder Drain und die zweite Source oder Drain weisen einen zugehörigen ersten Source- oder Drainbereich und einen zweiten Source- oder Drainbereich in der Gratstruktur 2020 auf entgegengesetzten Seiten der Gatestruktur 2028 auf. Der Drainbereich für den NFET PD2 und der erste Source- oder Drainbereich für den NFET PG2 sind in der Gratstruktur 2020 gemeinsam. Da der Drainbereich für den NFET PD2 und der erste Source- oder Drainbereich für den NFET PG2 in der Gratstruktur 2020 gemeinsam sind, ist der Drain des NFETs PD1 mit der ersten Source oder Drain des NFET PG2 verbunden.
  • Die Source des PFETs PU1 ist mit dem Hochspannungs-Versorgungsknoten CVdd verbunden, der in 19 gezeigt ist. Der Hochspannungs-Versorgungsknoten CVdd ist mit der Durchkontaktierung 2032 verbunden, die wiederum mit dem Kontakt 2030 verbunden ist. Der Kontakt 2030 berührt den Sourcebereich für den PFET PU1 in der Gratstruktur 2014. Die Durchkontaktierung 2032 verbindet den Kontakt 2030 mit der Metallleitung 2070 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben wird. Die Source des NFETs PD1 ist mit der Niederspannungs-Versorgungsknoten CVss verbunden, der in 19 gezeigt ist. Der Niederspannungs-Versorgungsknoten CVss ist mit der Durchkontaktierung 2040 verbunden, die wiederum mit dem Kontakt 2038 verbunden ist. Der Kontakt 2038 berührt den Sourcebereich für den NFET PD1 in der Gratstruktur 2016. Die Durchkontaktierung 2040 verbindet den Kontakt 2038 mit der Landungsstelle 2074 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben wird. Der Drain des PFETs PU1, der Drain des NFETs PD1, die erste Source oder Drain des NFETs PG1 und die Gates des PFETs PU2 und des NFETs PD2 sind mit dem Speicherknoten SN verbunden, der in 19 gezeigt ist. Der Speicherknoten SN weist den Kontakt 2034 und den Kontakt 2036 auf, der mit dem Kontakt 2034 verbunden ist. Der Kontakt 2034 berührt den Drainbereich für den PFET PU1 in der Gratstruktur 2014 und den Drainbereich für den NFET PD1, der mit dem ersten Source- oder Drainbereich für den NFET PG1 in der Gratstruktur 2016 verbunden ist. Der Kontakt 2036 berührt die Gatestruktur 2026 für den PFET PU2 und den NFET PD2. Die zweite Source oder Drain des NFETs PG1 ist mit der Bitleitung BL1 verbunden, die in 19 gezeigt ist. Die Bitleitung BL1 ist mit der Durchkontaktierung 2044 verbunden, die wiederum mit dem Kontakt 2042 verbunden ist. Der Kontakt 2042 berührt den zweiten Source- oder Drainbereich für den NFET PG1 in der Gratstruktur 2016. Die Durchkontaktierung 2044 verbindet den Kontakt 2042 mit einer Metallleitung 620A in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben ist. Das Gate des NFETs PG1 ist mit der Wortleitung WL8 verbunden, die in 19 gezeigt ist. Die Wortleitung WL8 ist mit der Durchkontaktierung 2048 verbunden, die wiederum mit dem Kontakt 2046 verbunden ist. Der Kontakt 2046 berührt die Gatestruktur 2024 für den NFET PG1. Die Durchkontaktierung 2048 verbindet den Kontakt 2046 mit einer Landungsstelle 2072 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben ist.
  • Die Source des PFETs PU2 ist mit dem Hochspannungs-Versorgungsknoten CVdd verbunden, der in 19 gezeigt ist. Der Hochspannungs-Versorgungsknoten CVdd ist mit der Durchkontaktierung 2052 verbunden, die wiederum mit dem Kontakt 2050 verbunden ist. Der Kontakt 2050 berührt den Sourcebereich für den PFET PU2 in der Gratstruktur 2018. Die Durchkontaktierung 2052 verbindet den Kontakt 2050 mit der Metallleitung 2070 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben wird. Der Drain des NFETs PD2 ist mit der Niederspannungs-Versorgungsknoten CVss verbunden, der in 19 gezeigt ist. Der Niederspannungs-Versorgungsknoten CVss ist mit der Durchkontaktierung 2060 verbunden, die wiederum mit dem Kontakt 2058 verbunden ist. Der Kontakt 2058 berührt den zweiten Source- oder Drainbereich für den NFET PD2 in der Gratstruktur 2020. Die Durchkontaktierung 2060 verbindet den Kontakt 2058 mit der Landungsstelle 2076 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben wird. Der Drain des PFETs PU2, der Drain des NFETs PD2, die erste Source oder Drain des NFETs PG2 und die Gates des PFETs PU1 und des NFETs PD1 sind mit dem komplementären Speicherknoten SNB verbunden, der in 19 gezeigt ist. Der komplementäre Speicherknoten SNB weist den Kontakt 2054 und den Kontakt 2056 auf, der mit dem Kontakt 2054 verbunden ist. Der Kontakt 2054 berührt den Drainbereich für den PFET PU2 in der Gratstruktur 2018 und den Drainbereich für den NFET PD2, der mit dem ersten Source- oder Drainbereich für den NFET PG2 in der Gratstruktur 2020 verbunden ist. Der Kontakt 2056 berührt die Gatestruktur 2022 für den PFET PU1 und den NFET PD1. Die zweite Source oder Drain des NFETs PG2 ist mit der komplementären Bitleitung BLB1 verbunden, die in 19 gezeigt ist. Die komplementäre Bitleitung BLB1 ist mit der Durchkontaktierung 2064 verbunden, die wiederum mit dem Kontakt 2062 verbunden ist. Der Kontakt 2062 berührt den zweiten Source- oder Drainbereich für den NFET PG2 in der Gratstruktur 2020. Die Durchkontaktierung 2064 verbindet den Kontakt 2062 mit einer Metallleitung 620B in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben ist. Das Gate des NFETs PG2 ist mit der Wortleitung WL8 verbunden, die in 19 gezeigt ist. Die Wortleitung WL8 ist mit der Durchkontaktierung 2068 verbunden, die wiederum mit dem Kontakt 2066 verbunden ist. Der Kontakt 2066 berührt die Gatestruktur 2028 für den NFET PG2. Die Durchkontaktierung 2068 verbindet den Kontakt 2066 mit einer Landungsstelle 2078 in dem BEOL-Abschnitt, der mit Bezug auf 21 beschrieben ist.
  • 21 ist eine Ansicht des Layouts 2002, das die Schichten der Arrayzelle SC81 (in 19 gezeigt) in dem FEOL-Abschnitt 2206, die in 23 gezeigt ist, und eine Metallschicht M3 der Arrayzelle SC81, die in der Schnittansicht 2202, die in 23 gezeigt ist, nicht sichtbar ist, in Übereinstimmung mit einigen Ausführungsformen. Bei der IC-Fertigung weist der BEOL-Abschnitt den Stapel von Verbindungsschichten über dem FEOL-Abschnitt auf. 21 zeigt Metallleitungen und Landungsstellen in den Metallschichten M1 bis M3 und Durchkontaktierungen, die zwischen den Schichten liegen. In 21 laufen die Metallleitung 520A für die Bitleitung BL1 und die Metallleitung 620B für die Bitleitung BLB1 parallel in der Y-Richtung und liegen auf entgegengesetzten Seiten der Metallleitung 2070 für den Hochspannungs-Versorgungsknoten CVdd.
  • Die Layoutansicht 2002 weist mehrere Metallleitungen 620A, 2070 und 620B und mehrere Landungsstellen 2072, 2074, 2076 und 2078 in der Metallschicht M1, mehrere Metallleitungen 2092, 2094 und 2096 in der Metallschicht M2, eine Metallleitung 2102 in der Metallschicht M3, die mehreren Durchkontaktierungen 2032, 2040, 2044, 2048, 2052, 2060 (nicht gekennzeichnet), 2064 und 2068 in der Schicht via0, mehrere Durchkontaktierungen 2082, 2084, 2086 (nicht gekennzeichnet) und 2088 in der Schicht via1 und mehrere Durchkontaktierungen 2098 (nicht gekennzeichnet) und 2100 in der Schicht via2 auf. Die Durchkontaktierungen 2060, 2086 und 2098 überlappen in der Layoutansicht 2002. Die Metallleitungen 620A, 2070 und 620B in der Metallschicht M1 erstrecken sich in der Länge im Wesentlichen in der Y-Richtung. Die Metallleitungen 2092, 2094 und 2096 in der Metallschicht M2 erstrecken sich in der Länge im Wesentlichen in der X-Richtung und die Metallleitung 2102 in der Metallschicht M3 erstreckt sich in der Länge im Wesentlichen in der Y-Richtung.
  • Der Hochspannungs-Versorgungsknoten CVdd weist die Metallleitung 2070 auf. Die Metallleitung 2070 erstreckt sich über die Spalte der Arrayzellen SC11, SC21, ... und SC81 und ist mit den Durchkontaktierungen 2032 und 2052 verbunden. Die Bitleitung BL1 weist die Metallleitung 620A auf. Die Metallleitung 620A erstreckt sich über die Spalte der Arrayzellen SC11, SC21, ... und SC81 und ist mit den Durchkontaktierungen 2044 verbunden. Die komplementäre Bitleitung BLB1 weist die Metallleitung 620B auf. Die Metallleitung 620B erstreckt sich über die Spalte von Arrayzellen SC11, SC21, ... und SC81 und ist mit den Durchkontaktierungen 2064 verbunden. Die Metallleitung 640A für die Bitleitung BL1 und die Metallleitung 620B für die komplementäre Bitleitung BLB1 liegen auf entgegengesetzten Seiten der Metallleitung 2070 für den Hochspannungs-Versorgungsknoten CVdd.
  • Die Wortleitung WL weist die Landungsstelle 2072, die Durchkontaktierung 2082, die Landungsstelle 2078, dir Durchkontaktierung 2088 und die Metallleitung 2096 auf. Die Metallleitung 2096 erstreckt sich über eine Reihe von Arrayzellen SC81, SC82 ... und SC84. Die Metallleitung 2096 ist mit der Durchkontaktierung 2048 über die Durchkontaktierung 2082 und die Landungsstelle 2072 verbunden und ist mit der Durchkontaktierung 2068 über die Durchkontaktierung 2088 und die Landungsstelle 2078 verbunden. Der Niederspannungs-Versorgungsknoten CVss weist die Landungsstelle 2076, die Durchkontaktierung 2086, die Metallleitung 2092, die Landungsstelle 2074, die Durchkontaktierung 2084, die Metallleitung 2094, die Durchkontaktierung 2100 und die Metallleitung 2102 auf. Die Metallleitung 2092 erstreckt sich über die Reihe von Arrayzellen SC81, SC82 ... und SC84 und ist mit der Durchkontaktierung 2060 über die Durchkontaktierung 2086 und die Landungsstelle 2076 verbunden. Die Metallleitung 2094 erstreckt sich über die Reihe von Arrayzellen SC81, SC82 ... und SC84 und ist mit der Durchkontaktierung 2040 über die Durchkontaktierung 2084 und die Landungsstelle 2074 verbunden. Die Metallleitung 2102 erstreckt sich über die Spalte von Arrayzellen SC81, SC82 ... und SC84. Die Metallleitung 2102 ist mit der Metallleitung 2092 über die Durchkontaktierung 2098 verbunden. Die Metallleitung 2102 ist mit der Metallleitung 2094 über die Durchkontaktierung 2100 verbunden. Die Metallleitungen 2092 und 2094 für den Niederspannungs-Versorgungsknoten CVss liegen auf entgegengesetzten Seiten der Metallleitung 2096 für die Wortleitung WL8.
  • 22A ist eine Ansicht des Layouts 2200A, das die Schichten der Schaltung 1806 (in 19 gekennzeichnet) bis zu der Metallschicht M1 in dem BEOL-Abschnitt 2206 aufweist, der in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 22 zeigt nichtfluchtende Metallleitungen 620A und 640A in der Metallschicht M1 für die Bitleitung BL1, die durch einen durchgehenden Abschnitt 630A in der Durchkontaktierungsschicht via0 verbunden sind, und nichtfluchtende Metallleitungen 620B und 640B in der Metallschicht M1 für die komplementäre Bitleitung BLB1, die durch einen durchgehenden Abschnitt 630B in der Durchkontaktierungsschicht via0 verbunden sind. In einigen Ausführungsformen besteht der durchgehende Abschnitt 630A aus einer Verbindungsstruktur 624A, die die Metallleitung 620A mit der Metallleitung 640A verbindet, und der durchgehende Abschnitt 630B besteht aus einer Verbindungsstruktur 624B, der die Metallleitung 620B mit der Metallleitung 640B verbindet. In einigen Ausführungsformen sind die Metallleitungen 620A und 640A der Bitleitung BL1 und die Metallleitungen 620B und 640B der komplementären Bitleitung BLB1 in der Metallschicht M1 oder der Metallschicht auf der untersten Ebene implementiert, die unter den Verbindungsschichten am dünnsten ist, um den Widerstand auf der Bitleitung BL1 und der komplementären Bitleitung BLB1 zu verringern.
  • In einigen Ausführungsformen weist das Layout 2200A einen Abschnitt für die Arrayzelle SC81, die mit Bezug auf 21 beschrieben wurde, und einen Abschnitt für die IO-Schaltung 1804 auf. Zusätzlich zu dem BEOL-Abschnitt der Arrayzelle SC81 weist die Bitleitung BL1 weiter die Metallleitung 640A in einem zugehörigen BEOL-Abschnitt der IO-Schaltung 1804 und einen durchgehenden Abschnitt 630A über den BEOL-Abschnitt der Arrayzelle SC81 und den BEOL-Abschnitt der IO-Schaltung 1804 auf. Die Metallleitung 649A liegt in der Metallschicht M1 und erstreckt sich der Länge nach im Wesentlichen in der Y-Richtung. Die Metallleitung 640A ist im Wesentlichen in der X-Richtung mit Bezug auf die Metallleitung 620A verschoben und ist daher gegenüber der Metallleitung 620A in der Y-Richtung nichtfluchtend angeordnet. Der durchgehende Abschnitt 630A ist in der Durchkontaktierungsschicht via0 ausgebildet und überlappt mit der Metallleitung 620A und der Metallleitung 640A. Die strukturellen Elemente, die durch die Metallleitungen 620A und 640A, den durchgehenden Abschnitt 630A und die Metallleitungen 2070 und 2090 ausgebildet sind, ähneln den entsprechenden Metallleitungen 120 und 140, dem durchgehenden Abschnitt 130 und den Metallleitungen 170 und 190, die mit Bezug auf die 2A und 4A beschrieben wurden. Die Durchkontaktierungsschicht via0 dient als lokale Verbindungsschicht für die Arrayzelle SC81 und die IO-Schaltung 1804.
  • Zusätzlich zu dem BEOL-Abschnitt der Arrayzelle SC81 weist die komplementäre Bitleitung BLB1 weiter die Metallleitung 640B in dem entsprechenden BEOL-Abschnitt der IO-Schaltung 1804 und einen durchgehenden Abschnitt 630B über den BEOL-Abschnitt der Arrayzelle SC81 und den BEOL-Abschnitt der IO-Schaltung 1804 auf. Die Metallleitung 640B liegt in der Metallschicht M1 und erstreckt sich der Länge nach im Wesentlichen in der Y-Richtung. Die Metallleitung 640B ist im Wesentlichen in einer Richtung entgegen der X-Richtung mit Bezug auf die Metallleitung 620B verschoben und ist daher gegenüber der Metallleitung 620B in der Y-Richtung nichtfluchtend angeordnet. Der durchgehende Abschnitt 630B ist in der Durchkontaktierungsschicht via0 ausgebildet und überlappt mit der Metallleitung 620B und der Metallleitung 640B. Die strukturellen Elemente, die durch die Metallleitungen 620B und 640B, dem durchgehenden Abschnitt 630A und der Metallleitungen 2070 und 2090 ausgebildet sind, ähneln den entsprechenden Metallleitungen 120 und 140, dem durchgehenden Abschnitt 130 und den Metallleitungen 170 und 190, die mit Bezug auf die 2A und 4A beschrieben wurden, außer dass sie in der X-Richtung umgekehrt wurden.
  • 22B ist eine Ansicht eines weiteren Layouts 2200B, das die Schichten bis zu der Metallschicht M1 der Schaltung 1806 (in 19 gezeigt) in dem BEOL-Abschnitt 2206 aufweist, die in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit dem Layout 2200A, das in 22A gezeigt ist, erstrecken sich die Metallleitungen 2220A und 2220B über die Metallleitung 2070 in der Y-Richtung hinaus und die Metallleitungen 2240A und 2240B beginnen hinter der Metallleitung 2090 in der Y-Richtung. Zusätzlich ist ein durchgehender Abschnitt 2230A dort breiter als der durchgehende Abschnitt 630A, der in 22 gezeigt ist, wo der durchgehende Abschnitt 2230A die Metallleitungen 2220A und 2240A überlappt. Ein durchgehender Abschnitt 2230B ist dort breiter als der durchgehende Abschnitt 630B, der in 22A gezeigt ist, wo der durchgehende Abschnitt 2230B die Metallleitungen 2220B und 2240B überlappt. Die strukturellen Elemente, die durch die Metallleitungen 2220A und 2240A, den durchgehenden Abschnitt 2230A und die Metallleitungen 2070 und 2090 gebildet werden, ähneln den entsprechenden Metallleitungen 220 und 240, dem durchgehenden Abschnitt 230 und den Metallleitungen 170 und 190, die mit Bezug auf die 2B und 4B beschrieben wurden. Die strukturellen Elemente, die durch die Metallleitungen 2220B und 2240B, den durchgehenden Abschnitt 2230B und die Metallleitungen 2070 und 2090 gebildet werden, ähneln den entsprechenden Metallleitungen 220 und 240, dem durchgehenden Abschnitt 230 und den Metallleitungen 170 und 190, die mit Bezug auf die 2B und 4B beschrieben wurden, außer dass sie in der Y-Richtung umgekehrt sind.
  • 22C ist eine Ansicht eines weiteren Layouts 2200C, das die Schichten bis zu der Metallschicht M1 der Schaltung 1806 (in 19 gezeigt) in dem BEOL-Abschnitt 2206 aufweist, die in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit dem Layout 2200B, das in 22B gezeigt ist, Verglichen mit dem Layout 2200B, das in 22B gezeigt ist, haben die durchgehenden Abschnitte 2330A und 2330B im Wesentlichen rechtwinklige Formen statt zweimal gewinkelte Formen. Die strukturellen Elemente, die durch die Metallleitungen 2220A und 2240A, den durchgehenden Abschnitt 2330A und die Metallleitungen 2070 und 2090 gebildet werden, ähneln den entsprechenden Metallleitungen 220 und 240, dem durchgehenden Abschnitt 330 und den Metallleitungen 170 und 190, die mit Bezug auf die 2C und 4C beschrieben wurden. Die strukturellen Elemente, die durch die Metallleitungen 2220B und 2240B, den durchgehenden Abschnitt 2330B und die Metallleitungen 2070 und 2090 gebildet werden, ähneln den entsprechenden Metallleitungen 220 und 240, dem durchgehenden Abschnitt 330 und den Metallleitungen 170 und 190, die mit Bezug auf die 2C und 4C beschrieben wurden, außer dass sie in der X-Richtung umgekehrt sind.
  • 22D ist eine Ansicht eines weiteren Layouts 2200D, das die Schichten bis zu der Metallschicht M1 der Schaltung 1806 (in 19 gezeigt) in dem BEOL-Abschnitt 2206 aufweist, die in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit den durchgehenden Abschnitten 230A und 230B in dem Layout 2200B für Einfachstrukturierung, das in 22B gezeigt ist, ist der durchgehende Abschnitt 830A eine Kombination von Formen 831A und 833A für Mehrfachstrukturierung, und ein durchgehender Abschnitt 830B ist eine Kombination von Formen 831B und 833B für Mehrfachstrukturierung. Die Metallleitungen 820A und 840A und der durchgehende Abschnitt 830A ähneln den entsprechenden Metallleitungen 820 und 840 und der Kombination 830, die mit Bezug auf die 8 beschrieben wurden. Die Metallleitungen 820B und 840B und der durchgehende Abschnitt 830B, ähneln den entsprechenden Metallleitungen 820 und 840 und der Kombination 830, die mit Bezug auf die 8 beschrieben wurden, außer dass sie in der X-Richtung umgekehrt sind.
  • 23 ist eine Schnittansicht 2202 der Schaltung 1806 (in 19 gezeigt), die an der Linie H-H', die in 20 gezeigt ist, der Linie I-I', die in 21 gezeigt ist, und der Linie J-J' genommen ist, die in 22A gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 23 zeigt die Schnittansicht 2202, die sowohl den FEOL-Abschnitt 2204 als auch den BEOL-Abschnitt 2206 der Schaltung 1806 aufweist. Der Einfachheit halber sind die strukturellen Elemente der Schnittansicht 2202 durch die gleichen Bezugszeichen gekennzeichnet wie die entsprechenden Formen in den Layouts 2000, 2002 und 2200A in den entsprechenden 20, 21 und 22A.
  • Der FEOL-Abschnitt 2204 weist ein Substrat 2001, eine dielektrische Isolierstruktur 2003, die Gatestrukturen 2022 und 2024 und die Source- oder Drainkontakte 2034 und 2042 auf. Die dielektrische Isolierstruktur 2003 ist über dem Substrat 2001 ausgebildet. Die Gatestrukturen 2022 und 2024 sind über der dielektrischen Isolierstruktur 2003 ausgebildet. Die Source- oder Drainkontakte 2034 und 2042 sind über der dielektrischen Isolierstruktur 2003 ausgebildet. Der BEOL-Abschnitt 2206 weist die Durchkontaktierung 22044 und den durchgehenden Abschnitt 630A in der Durchkontaktierungsschicht via0, die Metallleitung 620A in der Metallschicht M1 und die Metallleitungen 2092, 2094 und 2096 in der Metallschicht M2 auf. Die Durchkontaktierung 2044 verbindet die Metallleitung 620A mit dem Kontakt 2042. Die Metallleitung 620A und der durchgehende Abschnitt 630A ähneln der entsprechenden Metallleitung 120 und dem durchgehenden Abschnitt 130, die mit Bezug auf 3 beschrieben wurden.
  • 24 ist eine Ansicht des Layouts 2400, das die Schichten der Schaltung 1806 (in 19 gezeigt) bis zu der Metallschicht M1 hin und einen durchgehenden Abschnitt 2080 der Schaltung 1806 in der Metallschicht M2 in dem BEOL-Abschnitt 2206 aufweist, die in 23 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. 24 zeigt die Metallleitungen 2070 und 2090 für den Hochspannungs-Versorgungsknoten CVdd, die durch den durchgehenden Abschnitt 2080 in einer Metallschicht M2 verbunden sind, und die Durchkontaktierungen 2075 und 2085 in der Durchkontaktierungsschicht via1. Zusätzlich zu dem BEOL-Abschnitt der Arrayzelle SC81, weist der Hochspannungs-Versorgungsknoten CVdd weiter die Metallleitung 2090 in dem entsprechenden BEOL-Abschnitt der IO-Schaltung 1804 und den durchgehenden Abschnitt 2080 und die Durchkontaktierungen 2075 und 2085 über den BEOL-Abschnitt der Arrayzelle SC81 und den BEOL-Abschnitt der IO-Schaltung 1804 auf. Die strukturellen Elemente, die durch die Metallleitungen 620A und 640A, den durchgehenden Abschnitt 630A, die Metallleitungen 2070 und 2090, den durchgehenden Abschnitt 2080 und die Durchkontaktierungen 2075 und 2085 gebildet werden, ähneln denen der entsprechenden Metallleitungen 120 und 140, des durchgehenden Abschnitts 130, der Metallleitungen 170 und 190, des durchgehenden Abschnitts 1080 und der Durchkontaktierungen 1075 und 1085, die mit Bezug auf 16 beschrieben wurden. Die strukturellen Elemente, die durch die Metallleitungen 620B und 640B, den durchgehenden Abschnitt 630B, die Metallleitungen 2070 und 2090, den durchgehenden Abschnitt 2080 und die Durchkontaktierungen 2075 und 2085 gebildet werden, ähneln den entsprechenden Metallleitungen 120 und 140, dem durchgehenden Abschnitt 130, den Metallleitungen 170 und 190, dem durchgehenden Abschnitt 1080 und den Durchkontaktierungen 1075 und 1085, die mit Bezug auf 16 beschrieben wurden, außer dass sie in der X-Richtung umgekehrt wurden.
  • 25 ist ein Diagramm des Layouts 2500, das die Schichten der Schaltung 1806 (in 19 gezeigt) bis zu der Metallschicht M1 in dem BEOL-Abschnitt 2506, der in 26 gezeigt ist, und weitere Abschnitte 2536A und 2536B in der Kontaktschicht in dem FEOL-Abschnitt 2504 aufweist, der in 26 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit dem Layout 2200A, das in 22A gezeigt ist, weist das Layout 2500 weiter den zusätzlichen Abschnitt 2536A in der Kontaktschicht, der mit dem durchgehenden Abschnitt 630A verbunden ist, und den zusätzlichen Abschnitt 2536B in der Kontaktschicht auf, die mit dem durchgehenden Abschnitt 630B verbunden ist. In einigen Ausführungsformen erstreckt sich der zusätzliche Abschnitt 2536A nicht zu den Metallleitungen 620A und 640A und der zusätzliche Abschnitt 253B erstreckt sich nicht zu den Metallleitungen 620B und 640B, um eine Beeinflussung mit anderen Strukturen in der Kontaktschicht zu vermeiden. Der durchgehende Abschnitt 630A und der zusätzliche Abschnitt 253A ähneln dem durchgehenden Abschnitt 130 und dem zusätzlichen Abschnitt 536, der mit Bezug auf 5 beschrieben wurde. Der durchgehende Abschnitt 630B und der zusätzliche Abschnitt 2536B ähneln dem durchgehenden Abschnitt 130 und dem zusätzlichen Abschnitt 536, die mit Bezug auf 5 beschrieben wurden, außer dass sie in der X-Richtung umgekehrt sind.
  • 26 ist eine Schnittansicht 2502 der Schaltung 1806 (in 19 gezeigt), genommen an der Linie H-H', die in 20 gezeigt ist, der Linie I-I', die in 21 gezeigt ist, und der Linie K-', die in 25 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Schnittansicht 2202 in 23 weist die Schnittansicht 2502 weiter den zusätzlichen Abschnitt 2536A in der Kontaktschicht auf. Der zusätzliche Abschnitt 2536A ist über der dielektrischen Isolierstruktur 2003 und unter dem durchgehenden Abschnitt 630A ausgebildet und ist mit dem durchgehenden Abschnitt 630A verbunden.
  • 27 ist eine Ansicht des Layouts 2700, das die Schichten der Arrayzelle SC81 (in 19 gekennzeichnet) und den FEOL-Abschnitt 2904 und die Durchkontaktierungsschicht via0 der Arrayzelle SC81 in dem BEOL-Abschnitt 2906 aufweist, die in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit dem Layout, das in 20 gezeigt ist, bei dem die NFETs PG1 und PD1 und die NFETs PG2 und PD2 einen Grat haben, haben die NFETs PG1 und PD1 und die NFETs PG2 und PD2 in dem Layout 2700 zwei Grate. Die PFETs PU1 und PU2, die in 27 gezeigt sind, haben die gleiche Struktur wie die entsprechenden PFETs PU1 und PU2, die in 20 gezeigt sind, und werden durch die gleichen Bezugszeichen gekennzeichnet wie die Elemente der PFETs PU1 und PU2, die in 20 gezeigt sind. Als Beispiel ist die Zahl der Gratstrukturen für die NFETs SPG1 und SPD1 oder der NFETs SPG2 und SPD2 zwei. Die Zahl der Gratstrukturen für die PFETs SPU1 und SPU2 ist eins. Andere Mengen von Gratstrukturen für die NFETs SPG1 und SPD1 oder die NFETs SPG2 und SPD2 und andere Mengen von Gratstrukturen für die PFETs SPU1 und SPU2 liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung.
  • Für den NFET PG1 weist das Gate eine Gatestruktur 2724 auf, die einen Kanalbereich des NFETs PG1 in der Gratstruktur 2715 und einen Kanalbereich des NFETs PG1 in der Gratstruktur 2717 umgibt. Die Gatestruktur 2724 entspricht der Gatestruktur 2024, die in 20 gezeigt ist. Die Gratstrukturen 2715 und 2717 entsprechen der Gratstruktur 2016, die in 20 gezeigt ist. Die Wortleitung WL8 ist mit einer Durchkontaktierung 2748 verbunden, die wiederum mit einem Gatekontakt 2746 verbunden ist, der die Gatestruktur 2724 berührt. Die Durchkontaktierung 2748 und der Gatekontakt 2746 entsprechen der zugehörigen Durchkontaktierung 2048 und dem Gatekontakt 2046, die in 20 gezeigt sind. Weiter weist die erste Source oder Drain des NFETs PG1 erste Source- oder Drainbereiche in den Gratstrukturen 2715 und 2717 auf, die auf einer Seite der Gatestruktur 2724 ausgebildet und mit einander über einen Kontakt 2734 verbunden sind. Die zweite Source oder Drain des NFETs PG1 weist zweite Source- oder Drainbereiche in den Gratstrukturen 2715 und 2717 auf, die auf der anderen Seite der Gatestruktur 2724 ausgebildet und mit einander über einen Kontakt 2742 verbunden sind. Die Kontakte 2734 und 2742 entsprechen den entsprechenden Kontakten 2034 und 2042, die in 20 gezeigt sind. Die Bitleitung BL1 ist mit einer Durchkontaktierung 2744 verbunden, die wiederum mit dem Kontakt 2742 verbunden ist, der die zweiten Source- oder Drainbereiche in den Gratstrukturen 2715 und 2717 berührt. Die Durchkontaktierung 2744 entspricht der Durchkontaktierung 2044, die in 20 gezeigt ist.
  • Für den NFET PD1 weist das Gate eine Gatestruktur 2722 auf, die einen Kanalbereich des NFETs PD1 in der Gratstruktur 2717 umgibt. Die Gatestruktur 2722 entspricht der Gatestruktur 2022, die in 20 gezeigt ist. Weiter weist die Source des NFETs PD1 Sourcebereiche in den Gratstrukturen 2715 und 2717 auf, die auf einer Seite der Gatestruktur 2722 ausgebildet und mit einander durch einen Kontakt 2738 verbunden sind. Der Kontakt 2738 entspricht dem Kontakt 2038 der in 20 gezeigt ist. Der Drain des NFETs PD1 weist Drainbereiche in den Gratstrukturen 2715 und 2717 auf, die auf der anderen Seite der Gatestruktur 2722 ausgebildet und mit einander über den Kontakt 2734 verbunden sind. Die ersten Source- oder Drainbereiche für den NFET PG1 in den Gratstrukturen 2715 und 2717 werden mit den Drainbereichen für den NFET PD1 in den Gratstrukturen 2715 und 2717 geteilt. Der Kontakt 2734 wird auch zwischen dem NFET PG1 und dem NFET PD1 geteilt. Der Niederspannungs-Versorgungsknoten CVss ist mit einer Durchkontaktierung 2740 verbunden, die wiederum mit dem Kontakt 2738 verbunden ist, der die Sourcebereiche des NFETs PD1 in den Gratstrukturen 2715 und 2717 berührt. Die Durchkontaktierung 2740 entspricht der Durchkontaktierung 2040, die in 20 gezeigt ist.
  • Für den NFET PG2 weist das Gate eine Gatestruktur 2728 auf, die einen Kanalbereich des NFETs PG2 in der Gratstruktur 2719 und einen Kanalbereich des NFETs PG2 in der Gratstruktur 2721 umgibt. Die Gatestruktur 2728 entspricht der Gatestruktur 2028, die in 20 gezeigt ist. Die Gratstrukturen 2719 und 2721 entsprechen der Gratstruktur 2020, die in 20 gezeigt ist. Die Wortleitung WL8 ist mit einer Durchkontaktierung 2768 verbunden, die wiederum mit einem Gatekontakt 2766 verbunden ist, der die Gatestruktur 2728 berührt. Die Durchkontaktierung 2768 und der Gatekontakt 2766 entsprechen der entsprechenden Durchkontaktierung 2068 und dem Gatekontakt 2066, die in 20 gezeigt sind. Weiter weist die erste Source oder Drain des NFETs PG2 erste Source- oder Drainbereiche in den Gratstrukturen 2719 und 2721 auf, die auf einer Seite der Gatestruktur 2728 ausgebildet und mit einander über einen Kontakt 2754 verbunden sind. Die zweite Source oder Drain des NFETs PG2 weist zweite Source oder Drainbereiche in den Gratstrukturen 2719 und 2721 auf, die auf der anderen Seite der Gatestruktur 2728 ausgebildet und mit einander über einen Kontakt 2762 verbunden sind. Die Kontakte 2754 und 2762 entsprechen den entsprechenden Kontakten 2054 und 2062, die in 20 gezeigt sind. Die komplementäre Bitleitung BLB1 ist mit einer Durchkontaktierung 2764 verbunden, die wiederum mit dem Kontakt 2762 verbunden ist, der die zweiten Source- oder Drainbereiche in den Gratstrukturen 2719 und 2721 berührt. Die Durchkontaktierung 2764 entspricht der Durchkontaktierung 2064, die in 20 gezeigt ist.
  • Für den NFET PD2 weist das Gate eine Gatestruktur 2726 auf, die einen Kanalbereich in dem NFET PD2 in der Gratstruktur 2719 und einen Kanalbereich des NFETs PD2 in der Gratstruktur 2721 umgibt. Die Gatestruktur 2726 entspricht der Gatestruktur 2026, die in 20 gezeigt ist. Weiter weist die Source des NFETs PD2 Sourcebereiche in den Gratstrukturen 2719 und 2721 auf, die auf einer Seite der Gatestruktur 2726 ausgebildet und mit einander über einen Kontakt 2758 verbunden sind. Der Kontakt 2758 entspricht dem Kontakt 2058, der in 20 gezeigt ist. Der Drain des NFETs PD2 weist Drainbereiche in den Gratstrukturen 2719 und 2721 auf, die auf der anderen Seite der Gatestruktur 2726 ausgebildet und miteinander über den Kontakt 2754 verbunden sind. Die ersten Source- oder Drainbereiche für den NFET PG2 in den Gratstrukturen 2719 und 2721 werden mit den Drainbereichen für den NFET PD2 in den Gratstrukturen 2719 und 2721 geteilt. Der Kontakt 2754 wird auch zwischen dem NFET PG2 und dem NFET PD2 geteilt. Der Niederspannungs-Versorgungsknoten CVss ist mit einer Durchkontaktierung 2760 verbunden, die wiederum mit dem Kontakt 2758 verbunden ist, der die Sourcebereiche des NFETs PD2 in den Gratstrukturen 2719 und 2721 berührt. Die Durchkontaktierung 2760 entspricht der Durchkontaktierung 2060, die in 20 gezeigt ist.
  • 28 ist eine Ansicht des Layouts 2702, das Schichten der Arrayzelle SC81 (in 19 gezeigt) in dem BEOL-Abschnitt 2906, der in 30 gezeigt ist, und der Metallschicht M3 der Arrayzelle SC81 aufweist, die in der Schnittansicht 2902 nicht gezeigt ist, die in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Der Niederspannungs-Versorgungsknoten CVss weist Metallleitungen 2774 und 2776 in der Metallschicht M1, Durchkontaktierungen 2784 und 2786 in der Durchkontaktierungsschicht via1 und eine Metallleitung 2792 in der Metallschicht M2, eine Durchkontaktierung 2798 in der Durchkontaktierungsschicht via2 und eine Metallleitung 2800 in der Metallschicht M3 auf. Die Metallleitung 2774, die Durchkontaktierung 2784, die Metallleitung 2792 und die Durchkontaktierung 2798 entsprechen der Landungsstelle 2074, der Durchkontaktierung 2084, der Metallleitung 2094 und der Durchkontaktierung, die in 20 gezeigt sind. Die Metallleitung 2776, die Durchkontaktierung 2786, die Metallleitung 2792 und die Durchkontaktierung 2798 entsprechen der Landungsstelle 2076, der Durchkontaktierung 2086, der Metallleitung 2092 und der Durchkontaktierung 2098, die in 20 gezeigt sind. Die Metallleitung 2800 entspricht der Metallleitung 2102, die in 20 gezeigt ist. Verglichen mit dem Layout 2002, das in 21 gezeigt ist, erstrecken sich, anstatt dass die Landungsstellen 2074 und 2076 in der Metallschicht M1, die mit den entsprechenden Metallleitungen 2092 und 2094 in der Metallschicht M2 sich der Länge nach im Wesentlichen entlang der X-Richtung erstrecken, die Metallleitungen 2774 und 2776 der Länge nach im Wesentlichen in der Y-Richtung in der Metallschicht M1 und sind mit einer Metallleitung 2792 verbunden, die sich der Länge nach im Wesentlichen in der X-Richtung in der Metallschicht M2 erstrecken. Wegen der Anordnung der Metallleitungen 2774 und 2776 für den Niederspannungs-Versorgungsknoten CVss gibt es eine Metallleitung 2792 für den Niederspannungs-Versorgungsknoten CVss in der Metallschicht M2 statt zwei Metallleitungen 2092 und 2094 in dem Layout 2002, das in 21 gezeigt ist.
  • Die Bitleitung BL1 weist die Metallleitung 620C auf, die sich der Länge nach im Wesentlichen in der Y-Richtung in der Metallschicht M1 erstreckt. Die komplementäre Bitleitung BLB1 weist die Metallleitung 620D auf, die sich der Länge nach im Wesentlichen in der Y-Richtung in der Metallleitung M1 erstreckt. Die Metallleitungen 620C und 620D entsprechen den entsprechenden Metallleitungen 620A und 620B, die in 20 gezeigt sind. Die Wortleitung WL8 weist Landungsstellen 2772 und 2778 in der Metallschicht M1, Durchkontaktierungen 2782 und 2788 in der Durchkontaktierungsschicht via1 und eine Metallleitung 2796 in der Metallschicht M2 auf. Die Metallleitung 2796 erstreckt sich der Länge nach im Wesentlichen in der X-Richtung und ist mit den Durchkontaktierungen 2748 und 2768 in der Durchkontaktierungsschicht via0 über die entsprechenden Durchkontaktierungen 2748 und 2788 und den entsprechenden Landungsstellen 2772 und 2778 verbunden. Die Landungsstellen 2772 und 2778, die Durchkontaktierungen 2782 und 2788 und die Metallleitung 2796 entsprechen den entsprechenden Landungsstellen 2072 und 2078, den Durchkontaktierungen 2082 und 2088 und der Metallleitung 2096, die in 20 gezeigt sind. In einigen Ausführungsformen liegt die Metallleitung 2070 des Hochspannungs-Versorgungsknotens CVdd in der Metallschicht M1 und die Metallleitungen 2774 und 2776 des Niederspannungs-Versorgungsknotens CVss liegen in der Metallschicht M1, um eine Verringerung des Widerstands für die Bitleitung BL1 und die komplementäre Bitleitung BLB1 zu erreichen.
  • 29 ist eine Ansicht des Layouts 2900, das die Schichten der Schaltung 1806 (in 19 gezeigt) bis zu der Metallschicht M1 in dem BEOL-Abschnitt 2906, der in 30 gezeigt ist, aufweist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit dem Layout 2200A, das in 22A gezeigt ist, ist der BEOL-Abschnitt für die Arrayzelle SC81 des Layouts 2900 derjenige, der von dem Layout 2702, das in 28 gezeigt ist, anstatt dem von dem Layout 2202 abgeleitet ist, das in 21 gezeigt ist. Die Bitleitung BL1 weist die Metallleitung 620C, einen durchgehenden Abschnitt 630C und eine Metallleitung 640C auf. Die Metallleitung 620C, der durchgehende Abschnitt 630C und die Metallleitung 640C entsprechen der entsprechenden Metallleitung 620A, dem durchgehenden Abschnitt 630A und der Metallleitung 640A, die in 22A gezeigt sind. Die komplementäre Bitleitung BLB1 weist die Metallleitung 620D, einen durchgehenden Abschnitt 630D und eine Metallleitung 640D auf. Die Metallleitung 620D, der durchgehende Abschnitt 630D und die Metallleitung 640D entsprechen der entsprechenden Metallleitung 620B, dem durchgehenden Abschnitt 630B und der Metallleitung 640B, die in 22A gezeigt sind.
  • 30 ist eine Schnittansicht 2902 der Schaltung 1806 (in 19 gezeigt), die an der Linie L-L', die in 27 gezeigt ist, der Linie M-M', die in 28 gezeigt ist, und der Linie N-N', die in 29 gezeigt ist, genommen ist, in Übereinstimmung mit einigen Ausführungsformen. 30 zeigt die Schnittansicht 2902, die sowohl den FEOL-Abschnitt 2904 als auch den BEOL-Abschnitt 2906 der Schaltung 1806 aufweist. Der Einfachheit halber sind die strukturellen Elemente in der Schnittansicht 2902 mit den gleichen Bezugszeichen gekennzeichnet wie die entsprechenden Formen in den Layouts 2700, 2702 und 2900 in den entsprechenden 27, 28 und 29.
  • Der FEOL-Abschnitt 2904 weist ein Substrat 2701, eine dielektrische Isolierstruktur 2703, die Gatestrukturen 2722 und 2724 und die Source- oder Drainkontakte 2734 und 2742 auf. Die dielektrische Isolierstruktur 2703 ist über dem Substrat 2701 ausgebildet. Die Gatestrukturen 2722 und 2724 sind über der dielektrischen Isolierstruktur 2703 ausgebildet. Die Source- oder Drainkontakte 2734 und 2742 sind über der dielektrischen Isolierstruktur 2703 ausgebildet. Der BEOL-Abschnitt 2906 weist die Durchkontaktierung 2744 und den durchgehenden Abschnitt 630C in der Durchkontaktierungsschicht via0, die Metallleitung 620C in der Metallschicht M1 und die Metallleitungen 2792 und 2796 in der Metallschicht M2 auf. Die Durchkontaktierung 2744 verbindet die Metallleitung 620C mit dem Kontakt 2742. Die Metallleitung 620C und der durchgehende Abschnitt 630C ähneln der entsprechenden Metallleitung 120 und durchgehenden Abschnitt 130, die mit Bezug auf 3 beschrieben sind.
  • 31 ist eine Ansicht eines wirksamen Layouts 3100, das die Schichten der Schaltung 1806 (in 19 gezeigt) bis zu der Metallschicht M2 in dem BEOL-Abschnitt 3106, der in 33 gezeigt ist, aufweist, in Übereinstimmung mit einigen Ausführungsformen. Das wirksame Layout 3100 zeigt die Metallschicht M2 als Ergebnis der Differenz zwischen einer Metallschicht M2 und einer Schnittlinienschicht in dem realen Layout, das in 32 gezeigt ist. Verglichen mit dem Layout 2900, das in 29 gezeigt ist, weist die Bitleitung BL1 einen durchgehenden Abschnitt 1430C in der Metallschicht M2 und Durchkontaktierungen 1425C und 1435C in der Durchkontaktierungsschicht via1 auf, um die Metallleitungen 620C und 640C mit einander zu verbinden. Die komplementäre Bitleitung BLB1 weist einen durchgehenden Abschnitt 1430D in der Metallschicht M2 und Durchkontaktierungen 1425D und 1435D in der Durchkontaktierungsschicht via1 auf, um die Metallleitungen 620D und 640D mit einander zu verbinden. Weiter weist der Hochspannungs-Versorgungsknoten CVdd die Metallleitungen 2070 und 2090 in der Metallschicht M1 und einen durchgehenden Abschnitt 3180 in der Durchkontaktierungsschicht via0 auf, der die Metallleitungen 2070 und 2090 überlappt. Die strukturellen Elemente, die durch die Metallleitungen 620C und 640C, den durchgehenden Abschnitt 1430C, die Durchkontaktierungen 1425C und 1435C, die Metallleitungen 2070 und 2090 und den durchgehenden Abschnitt 3180 gebildet werden, ähneln denen der entsprechenden Metallleitungen 120 und 140, des durchgehenden Abschnitts 1030, der Durchkontaktierungen 1025 und 1035, der Metallleitungen 170 und 190 und des durchgehenden Abschnitts 180, die mit Bezug auf 17 beschrieben wurden. Die strukturellen Elemente, die durch die Metallleitungen 620D und 640D, den durchgehenden Abschnitt 1430D, die Durchkontaktierungen 1425D und 1435D, die Metallleitungen 2070 und 2090 und den durchgehenden Abschnitt 3180 gebildet werden, ähneln denen der entsprechenden Metallleitungen 120 und 140, des durchgehenden Abschnitts 1030, der Durchkontaktierungen 1025 und 1035, der Metallleitungen 170 und 190 und des durchgehenden Abschnitts 180, die mit Bezug auf 17 beschrieben wurden, außer dass sie in der X-Richtung umgekehrt sind. In einigen Ausführungsformen sind die Metallleitungen 620C und 640C der Bitleitung BL1 und der Metallleitungen 620D und 640D der komplementären Bitleitung BLB1 in der Metallschicht M1 oder der Metallschicht auf der untersten Ebene implementiert, die unter den Verbindungsschichten am dünnsten ist, um die Kapazität der Bitleitung BL1 und der komplementären Bitleitung BLB1 zu verringern.
  • 32 ist eine Ansicht eines realen Layouts 3200, aus dem das wirksame Layout 3100 gewonnen wird, das in 31 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Eine Metallleitung 3226, die sich der Länge nach im Wesentlichen in der X-Richtung so erstreckt, dass sie die Metallleitungen 620C und 620D und den Schnittlinienschichten 3227 und 3228 auf entgegengesetzten Seiten der Metallleitungen 620C und 640C überlappt, bildet tatsächlich den durchgehenden Abschnitt 1430C. Ähnlich bildet die Metallleitung 3226, die durch die Schnittlinie 3228 und eine Schnittlinie 3229 auf entgegengesetzten Seiten der Metallleitungen 620D und 640D durchschnitten wird, tatsächlich den durchgehenden Abschnitt 1430D. Die Metallleitungen 620C und 640C, die Metallleitung 3226 und die Schnittlinien 3227 und 3228 ähneln den entsprechenden Metallleitungen 620 und 640, der Metallleitung 1426 und den Schnittlinien 1427 und 1428, die in 14 gezeigt sind. Die Metallleitungen 620D und 640D, die Metallleitung 3226 und die Schnittlinien 3228 und 3229 ähneln den entsprechenden Metallleitungen 620 und 640, der Metallleitung 1426 und den Schnittlinien 1427 und 1428, die in 14 gezeigt sind, außer dass sie in der X-Richtung umgekehrt sind.
  • 33 ist eine Schnittansicht 3102 der Schaltung 1806 (in 19 gezeigt), genommen an der Linie L-L', die in 27 gezeigt ist, der Linie M-M', die in 28 gezeigt ist, und der Linie O-O', die in 31 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Schnittansicht 2902, die in 30 gezeigt ist, weist die Schnittansicht 3102 den durchgehenden Abschnitt 1430C über und verbunden mit der Metallleitung 620C auf, wobei eine Durchkontaktierung 1425C anstatt dem durchgehenden Abschnitt 630C unter und direkt verbunden mit der Metallleitung 620C liegt. Die Metallleitung 620C, die Durchkontaktierung 1425C und der durchgehende Abschnitt 1430C ähneln der entsprechenden Metallleitung 120, der Durchkontaktierung 1025 und dem durchgehenden Abschnitt 1030, die mit Bezug auf 12 beschrieben wurden.
  • DUAL-PORT-SRAM-MAKRO
  • 34 ist ein Schaltungsdiagramm einer Schaltung 3406, die eine Dual-Port-SRAM-Zelle SCDP und einen zugehörigen Abschnitt einer IO-Schaltung 3404 aufweist, in Übereinstimmung mit einigen Ausführungsformen. Verglichen mit der Single-Port-Zelle SC81 in der Schaltung 1806, die in 19 gezeigt ist, weist die Dual-Port-SRAM-Zelle SCDP einen Abschnitt 3408, der im Wesentlichen der Single-Port-Zelle SC81 in der Schaltung 1806 ähnelt, und einen Abschnitt 3410 auf, der in der Schaltung 1806 nicht vorgesehen ist. Der Abschnitt 3408 weist eine Eingabe-Datenleitung WT und eine komplementäre Eingabe-Datenleitung WC zum Schreiben auf, und der Abschnitt 3410 weist eine Lese-Bitleitung RBL zum Lesen auf. Verschiedene Arten von Zugriffsleitungen weisen auch die Lese-Bitleitung wie die Lese-Bitleitung RBL auf. Elemente in dem Abschnitt 3408, die den Elementen in der Schaltung 1806 ähneln, sind durch die gleichen Bezugszeichen gekennzeichnet. Der Abschnitt 3410 der Arrayzelle SCDP weist weiter einen NFET RPD1 und einen NFET RPG1 auf. Der NFET RPD1 hat ein Gate, das mit dem Speicherknoten SN verbunden ist, eine Source, die mit dem Niederspannungs-Versorgungsknoten CVss verbunden ist, und einen Drain, der mit einer ersten Source oder Drain des NFETs RPG1 verbunden ist. Der NFET RPG1 hat ein Gate, das mit einer Lese-Wortleitung RWL verbunden ist, die erste Source oder Drain, die mit dem Drain des NFETs RPD1 verbunden ist, und die zweite Source oder Drain, die mit der Lese-Bitleitung RBL verbunden ist. Verglichen mit der IO-Schaltung 1804 in der Schaltung 1806 weist die IO-Schaltung 3404 in der Schaltung 3406 die PFETs Q1 bis Q6 für den Abschnitt 3408 nicht auf und weist einen PFET Q8 für den Abschnitt 3410 auf. Ein Gate des PFETs Q8 ist mit einer Steuerleitung BLPC verbunden, eine Source des PFETs Q8 ist mit einer Vorladespannung VPC verbunden und ein Drain des PFETs Q8 ist mit der Lese-Bitleitung RBL verbunden. Während eines Vorlade-Vorgangs vor einer Leseoperation schaltet ein Signal an der Steuerleitung BLPC den PFET Q8 an, um die Lese-Bitleitung RBL vorzuladen.
  • 35 ist eine Ansicht eines Layouts 3500, die Schichten der Arrayzelle SCDP (in 34 gezeigt) in einem FEOL-Abschnitt, der dem FEOL-Abschnitt 2904 ähnelt, der in 30 gezeigt ist, und eine Durchkontaktierungsschicht via0 der Arrayzelle SCDP in einem BEOL-Abschnitt aufweist, der dem BEOL-Abschnitt 2906 ähnelt, der in 30 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Das Layout 3500 weist ein Layout 2700, wie es in 27 für den Abschnitt 3408 der Arrayzelle SCDP gezeigt ist, und ein Layout 3502 für den Abschnitt 3410 der Arrayzelle SCDP auf. In dem Layout 3500 erstrecken sich eine Gatestruktur 3526, die der Gatestruktur 2726 für den PFET PU2 entspricht und der NFET PD2 der Länge nach von dem Layout 2700 in das Layout 3502, um auch als Gatestruktur für den NFET RPD1 zu dienen. In dem Layout 3502 wird das Gate so ausgebildet, dass die Gatestruktur 3526 die Gratstrukturen 3502, 3506 und 3508 durchquert. Die Source wird durch Sourcebereiche für den NFET RPD1 in den Gratstrukturen 3504, 3506 und 3508 gebildet, die auf einer Seite der Gatestruktur 3526 liegen, und sind durch einen Kontakt 3512 verbunden. Der Drain wird durch Drainbereiche für den NFET RPD1 in den Gratstrukturen 3504, 3506 und 3508 gebildet, die auf der anderen Seite der Gatestruktur 3526 liegen und durch einen Kontakt 3516 verbunden sind. Der Niederspannungs-Versorgungsknoten CVss ist mit einer Durchkontaktierung 3514 in der Durchkontaktierungsschicht via0 verbunden, die wiederum mit dem Kontakt 3512 verbunden ist. Für den NFET RPG1 wird das Gate ausgebildet, indem die Gatestruktur 3510 die Gratstrukturen 3504, 3506 und 3508 durchquert. Die Lese-Wortleitung RWL ist mit der Gatestruktur 3510 über eine Durchkontaktierung 3524 in der Durchkontaktierungsschicht via0 und einen Kontakt 3522 verbunden. Die erste Source oder Drain wird durch erste Source- oder Drainbereiche für den NFET RPG1 in den Gratstrukturen 3504, 3506 und 3508 gebildet, die auf einer Seite der Gratstruktur 3510 liegen und werden mit den Drainbereichen für den NFET RPD1 in den Gratstrukturen 3504, 3506 und 3508 geteilt. Die zweite Source oder Drain wird durch zweite Source- oder Drainbereiche für den NFET RPG1 in den Gratstrukturen 3504, 3506 und 3508 gebildet, die auf der anderen Seite der Gatestruktur 3510 liegen, und sind mit einander durch den Kontakt 3518 verbunden. Die Lese-Bitleitung RBL ist mit einer Durchkontaktierung 3520 in der Durchkontaktierungsschicht via0 verbunden, die wiederum mit dem Kontakt 3518 verbunden ist.
  • 36 ist eine Ansicht eines Layouts 3600 der Schaltung 3406, die in 34 gezeigt ist, in Übereinstimmung mit einigen Ausführungsformen. Das Layout 3600 weist ein Layout 2900, wie es in 29 gezeigt ist, für den Abschnitt 3408 und ein Layout 3602 für den Abschnitt 3410 auf. Das Layout 4510 weist einen Abschnitt für die Arrayzelle SCDP und einen Abschnitt für die IO-Schaltung 3404 auf. Die Lese-Bitleitung RBL weist eine Metallleitung 3620 in dem Abschnitt für die Arrayzelle SCDP , eine Metallleitung 3640 in dem Abschnitt für die IO-Schaltung 3404 und einen durchgehenden Abschnitt 3630 auf. Die Metallleitungen 3620 und 3640 und der durchgehende Abschnitt 3630 ähneln den entsprechenden Metallleitungen 620D und 640D und dem durchgehenden Abschnitt 630D in dem Layout 2900 in 29.
  • 37 ist eine Ansicht eines Layouts 3700 der Schaltung 3406, die in 34 gezeigt ist, in Übereinstimmung mit anderen Ausführungsformen. Verglichen mit dem Layout 3600, das in 36 gezeigt ist, hat das Layout 3702 für den Abschnitt 3410 eine Lese-Bitleitung RBL, die eine Metallleitung 3720 aufweist, die sich im Wesentlichen in der Y-Richtung von dem Abschnitt für die Arrayzelle SCDP zu dem Abschnitt für die IO-Schaltung 3404 erstreckt.
  • Jedes der SRAM-Makros weist eine vertikale Leitung auf, die durch jede der Verbindungsstrukturen implementiert wird, die mit Bezug auf die 1 bis 15D beschrieben wurden. Eine vertikale Bitleitung besteht beispielsweise aus einer Bitleitung BL1, einer komplementären Bitleitung BLB1 oder einer Lese-Bitleitung RBL. Die vertikale Leitung weist nichtfluchtende Metallleitungen in einem Abschnitt einer Arrayzelle und einen Abschnitt einer IO-Schaltung auf. Andere SRAM-Makros mit horizontalen Leitungen, die durch eine Verbindungsstruktur implementiert werden, die einer der Verbindungsstrukturen ähnelt, die mit Bezug auf 1 bis 15D beschrieben wurden, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Eine horizontale Leitung besteht beispielsweise aus einer Wortleitung WL8, die mit Bezug auf die 18 und 19 beschrieben wurde. Die horizontale Leitung weist beispielsweise nichtfluchtende Metallleitungen in einem Abschnitt von Arrayzellen und einen Abschnitt einer Wortleitungsdecodierschaltung auf. Weiter weist jedes SRAM-Makro PFETs und NFETs auf, die durch FinFETs gebildet werden, die die horizontal laufenden Gratstrukturen aufweisen. Andere SRAM-Makros wie solche, die Gate-All-Around-FETs mit horizontal oder vertikal laufenden Nanodrähten verwenden, liegen in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Des Weiteren liegen andere Schaltungen, die vertikale Leitungen oder horizontale Leitungen unter Verwendung aller Verbindungsstrukturen, die mit Bezug auf die 1 bis 17 beschrieben wurden, in dem erwogenen Schutzumfang der vorliegenden Offenbarung. Andere Schaltungen umfassen beispielsweise Schaltungsarrays, die einen Logikarray, ein Makro mit dynamischem Arbeitsspeicher (DRAM), einen Pixelarray etc. aufweisen.
  • Einige Ausführungsformen haben eine oder eine Kombination der beschriebenen Eigenschaften und/oder Vorteile. Ein Layout einer Schaltung wie einem SRAM-Makro weist einen On-Grid-Abschnitt, etwa eine Zugriffsschaltung mit Metallleitungen, die on-grid verlegt sind, um Ausführungszeit der OPC zu sparen, und einen Nicht-On-Grid-Abschnitt auf, etwa einen Array von Arrayzellen, wobei Metallleitungen nicht on-grid verlegt sind, um Chipfläche zu sparen. Weiter können die on-grid verlegten Metallleitungen optimierte Schaltungsgeschwindigkeiten oder Schaltungsdichte erreichen. Beispiele von Metallleitungen in dem SRAM-Makro, das sich über den On-Grid-Abschnitt und den Nicht-On-Grid-Abschnitt erstreckt, umfassen Bitleitungspaare und Wortleitungen. In anderen Ansätzen wird, um Metallleitungen, die nichtfluchtend angeordnet sind, da sie on-grid und nicht on-grid verlegt sind, gewinkelte oder sprunghafte Verlegung der Metallleitungen verwendet. Für moderne Technologieknoten werden, aufgrund von Einschränkungen des Abstandhalter-Lithographieverfahrens, die Metallleitungen für den Nicht-On-Grid-Abschnitt auf Grundlage von strengen Routing-Regeln verlegt, für die es nötig ist, dass die Metallleitungen unidirektional mit einem festen und engen Abstand der Metallleitungen verlegt werden, und die gewinkeltes oder sprunghaftes Routing der Metallleitungen verbieten. Daher können die Metallleitungen für den On-Grid-Abschnitt nicht direkt mit den Metallleitungen für den Nicht-On-Grid-Abschnitt verbunden werden. Im Endergebnis werden in einigen Ausführungsformen nichtfluchtende Metallleitungen in einer ersten Verbindungsschicht mit einer Verbindungsstruktur verbunden, die einen durchgehenden Abschnitt in einer zweiten Verbindungsschicht unter oder über der ersten Verbindungsschicht aufweist. Daher gehorchen die nichtfluchtenden Metallleitungen in der ersten Verbindungsschicht immer noch mit den strengen Routing-Regeln, um die Grenzen der Lithographiewerkzeuge zu berücksichtigen, während die Vorteile der gesparten Fläche durch das Nicht-On-Grid-Routing in der ersten Verbindungsschicht erhalten bleiben. Weiter ist in einigen Ausführungsformen der durchgehende Abschnitt, der unter der ersten Verbindungsschicht implementiert ist, eine Single-Damascene-Durchkontaktierung. In einigen Ausführungsformen hat der durchgehende Abschnitt eine gewinkelte Form. In einigen Ausführungsformen wird der Widerstand des durchgehenden Abschnitts verringert, indem die nichtfluchtenden Metallleitungen sich zu einander so erstrecken, dass der durchgehende Abschnitt von Abschnitten der nichtfluchtenden Metallleitungen überlappt wird, die sich über entsprechende angrenzende Metallleitungen hinaus erstrecken, und zu geweiteten Breiten des durchgehenden Abschnitts, wo er mit den nichtfluchtenden Metallleitungen überlappt. In einigen Ausführungsformen wird der Widerstand des durchgehenden Abschnitts verringert, indem ein weiterer Abschnitt in einer Verbindungsschicht hinzugefügt wird, die sich von der ersten Verbindungsschicht und der zweiten Verbindungsschicht unterscheidet, und der weitere Abschnitt mit dem durchgehenden Abschnitt verbunden wird. In einigen Ausführungsformen hat der durchgehende Abschnitt eine rechtwinklige Form. In einigen Ausführungsformen ist der durchgehende Abschnitt, der über der Verbindungsschicht implementiert wird, eine Metallleitung, die mit den nichtfluchtenden Metallleitungen mit Durchkontaktierungen verbunden ist, die dazwischen liegen.
  • In einigen Ausführungsformen weist eine Verbindungsstruktur eine erste Metallleitung, eine zweite Metallleitung und eine erste Verbindungsstruktur auf. Die erste Metallleitung ist in einer ersten Verbindungsschicht ausgebildet, erstreckt sich der Länge nach im Wesentlichen in einer ersten Richtung und endet an einem ersten Endabschnitt. Die zweite Metallleitung ist in der ersten Verbindungsschicht ausgebildet, beginnt an einem zweiten Endabschnitt und erstreckt sich der Länge nach im Wesentlichen in der ersten Richtung. Die zweite Metallleitung ist gegenüber der ersten Metallleitung in der ersten Richtung nichtfluchtend angeordnet. Die erste Verbindungsstruktur verbindet die erste Metallleitung mit der zweiten Metallleitung. Die erste Verbindungsstruktur weist einen ersten durchgehenden Abschnitt auf, der in einer zweiten Verbindungsschicht ausgebildet ist, die sich von der ersten Verbindungsschicht unterscheidet, und überlappt den ersten Endabschnitt und den zweiten Endabschnitt.
  • In einigen Ausführungsformen weist eine Schaltung eine Arrayzelle, eine Zugriffsschaltung und eine erste Verbindungsstruktur auf. Die Arrayzelle weist eine erste Metallleitung auf, die in einer ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen in einer ersten Richtung erstreckt. Die Zugriffsschaltung ist so konfiguriert, dass sie auf die Arrayzelle zugreift, und weist eine zweite Metallleitung auf, die in der ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen in der ersten Richtung erstreckt. Die erste Verbindungsstruktur verbindet die erste Metallleitung mit der zweiten Metallleitung unter Verwendung einer zweiten Verbindungsschicht, die sich von der ersten Verbindungsschicht unterscheidet. Die unter einander verbundenen erste Metallleitung, zweite Metallleitung und erste Verbindungsstruktur dienen als Zugriffsleitung der Arrayzelle.
  • In einigen Ausführungsformen weist ein Layout eine erste Verbindungsschicht und eine zweite Verbindungsschicht auf. Die erste Verbindungsschicht weist eine erste Metallleitung und eine zweite Metallleitung auf. Die erste Metallleitung erstreckt sich der Länge nach im Wesentlichen in einer ersten Richtung und endet an einem ersten Endabschnitt. Die zweite Metallleitung beginnt an einem zweiten Endabschnitt und erstreckt sich der Länge nach im Wesentlichen in der ersten Richtung. Die zweite Metallleitung ist gegenüber der ersten Metallleitung in der ersten Richtung nichtfluchtend angeordnet. Die zweite Verbindungsschicht unterscheidet sich von der ersten Verbindungsschicht. Die zweite Verbindungsschicht weist eine erste Form auf, die den ersten Endabschnitt und den zweiten Endabschnitt überlappt.

Claims (21)

  1. Verbindungsstruktur (100, 200, 300, 350, 500, 600), die Folgendes umfasst: eine erste Metallleitung (120, 220, 620) in einer ersten Verbindungsschicht, die sich der Länge nach im Wesentlichen in einer ersten Richtung (Y) erstreckt und an einem ersten Endabschnitt (122, 222, 322, 622) endet; eine zweite Metallleitung (140, 240, 640), die in der ersten Verbindungsschicht ausgebildet ist, die an einem zweiten Endabschnitt (142, 242, 342, 642) beginnt, sich der Länge nach im Wesentlichen in der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und eine erste Verbindungsstruktur, die die erste Metallleitung mit der zweiten Metallleitung verbindet, wobei die erste Verbindungsstruktur einen ersten durchgehenden Abschnitt (130, 230, 330, 380, 630) aufweist, der in einer zweiten Verbindungsschicht ausgebildet ist, die sich von der ersten Verbindungsschicht unterscheidet und der von dem ersten Endabschnitt (122, 222, 322, 622) und dem zweiten Endabschnitt (142, 242, 342, 642) überlappt wird, und wobei der erste durchgehende Abschnitt (130, 230, 330, 380, 630) eine Single-Damascene-Durchkontaktierung ist.
  2. Verbindungsstruktur (500) nach Anspruch 1, wobei die erste Verbindungsstruktur weiter einen zusätzlichen Abschnitt (536) aufweist, der in einer Schicht ausgebildet ist, die sich von der ersten Verbindungsschicht und der zweiten Verbindungsschicht unterscheidet, und mit dem ersten durchgehenden Abschnitt (130) verbunden ist.
  3. Verbindungsstruktur (100) nach einem der vorangegangenen Ansprüche, wobei der erste durchgehende Abschnitt (130) eine Form mit mindestens einer ersten Ecke (132) und einer zweiten Ecke (134) hat; wobei die erste Ecke (132) von im Wesentlichen entlang der ersten Richtung (Y) zu im Wesentlichen entlang einer zweiten Richtung (X) abgewinkelt ist, die im Wesentlichen rechtwinklig zu der ersten Richtung (Y) ist; und wobei die zweite Ecke (134) von im Wesentlichen entlang der ersten Richtung (Y) zu im Wesentlichen entlang der zweiten Richtung (X) abgewinkelt ist.
  4. Verbindungsstruktur (300) nach einem der vorangegangenen Ansprüche, wobei der erste durchgehende Abschnitt (330) eine im Wesentlichen rechtwinklige Form hat, die eine Breite (W33) aufweist, die sich über eine Breite (W22) des ersten Endabschnitts (322) und eine Breite (W24) des zweiten Endabschnitts (342) erstreckt.
  5. Verbindungsstruktur (200, 300, 350) nach einem der Ansprüche 1 bis 3, wobei eine Breite (W23, W33) des ersten durchgehenden Abschnitts (230, 330) dort, wo der erste durchgehende Abschnitt (230, 330) mit dem ersten Endabschnitt (222, 322) überlappt, um wenigstens als etwa 10% breiter als eine Breite (W22) des ersten Endabschnitts (222, 322) ist.
  6. Verbindungsstruktur (400B, 400C, 400D) nach einem der vorangegangenen Ansprüche, die weiter Folgendes umfasst: eine dritte Metallleitung (170), die in der ersten Verbindungsschicht ausgebildet ist und sich der Länge nach im Wesentlichen entlang der ersten Richtung (Y) erstreckt, wobei mindestens ein Teil des ersten Endabschnitts (222, 322, 1122) jenseits der dritten Metallleitung (170) in der ersten Richtung (Y) liegt.
  7. Verbindungsstruktur (1600) nach einem der Ansprüche 1 bis 5, die weiter Folgendes umfasst: eine dritte Metallleitung (170), die in der ersten Verbindungsschicht und angrenzend an die erste Metallleitung (120) ausgebildet ist und sich der Länge nach im Wesentlichen entlang der ersten Richtung (Y) erstreckt; eine vierte Metallleitung (190), die in der ersten Verbindungsschicht und angrenzend an die zweite Metallleitung (140) ausgebildet ist und sich der Länge nach im Wesentlichen entlang der ersten Richtung (Y) erstreckt und zu der dritten Metallleitung (170) in der ersten Richtung (Y) fluchtend angeordnet ist; und eine zweite Verbindungsstruktur, die die dritte Metallleitung (170) mit der vierten Metallleitung (190) unter Verwendung einer dritten Verbindungsschicht verbindet, die sich von der ersten Verbindungsschicht und der zweiten Verbindungsschicht unterscheidet.
  8. Verbindungsstruktur (1000, 1100, 1400), die Folgendes umfasst: eine erste Metallleitung (120, 620, 1120) in einer ersten Verbindungsschicht, die sich der Länge nach im Wesentlichen in einer ersten Richtung (Y) erstreckt und an einem ersten Endabschnitt (1022, 1122, 1422) endet; eine zweite Metallleitung (140, 640, 1140), die in der ersten Verbindungsschicht ausgebildet ist, die an einem zweiten Endabschnitt (1042, 1142, 1442) beginnt, sich der Länge nach im Wesentlichen in der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und eine erste Verbindungsstruktur, die die erste Metallleitung mit der zweiten Metallleitung verbindet, wobei die erste Verbindungsstruktur einen ersten durchgehenden Abschnitt (1030, 1130, 1430) aufweist, der in einer zweiten Verbindungsschicht ausgebildet ist, die sich von der ersten Verbindungsschicht unterscheidet und der von dem ersten Endabschnitt (1022, 1122, 1422) und dem zweiten Endabschnitt (1042, 1142, 1442) überlappt wird; wobei der erste durchgehende Abschnitt (1030, 1130, 1430) eine dritte Metallleitung ist; und die erste Verbindungsstruktur weiter Folgendes umfasst: eine erste Durchkontaktierung (1025, 1125, 1425), die den ersten durchgehenden Abschnitt (1030, 1130, 1430) mit der ersten Metallleitung (120, 620, 1120) verbindet; und eine zweite Durchkontaktierung (1035, 1135, 1435), die den ersten durchgehenden Abschnitt (1030, 1130, 1430) mit der zweiten Metallleitung (140, 640, 1140) verbindet.
  9. Verbindungsstruktur (1100) nach Anspruch 8, wobei: eine Breite (W1125) der ersten Durchkontaktierung (1125), die um mindestens etwa 10% breiter als eine Breite (W112) des ersten Endabschnitts (1122) ist.
  10. Halbleiterchip (1800, 2200, 2400, 2500, 2502, 2900, 2902, 3100, 3102, 3600, 3700), der Folgendes umfasst: eine Arrayzelle (SC), die Folgendes umfasst: eine erste Metallleitung (620, 820, 2220, 3620), die in einer ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen entlang einer ersten Richtung (Y) erstreckt; eine Zugriffsschaltung (1802, 1804), die so konfiguriert ist, dass sie auf die Arrayzelle (SC) zugreift, und Folgendes umfasst: eine zweite Metallleitung (640, 840, 2240, 3640), die in der ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen entlang der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und eine erste Verbindungsstruktur, die die erste Metallleitung (620, 820, 2220, 3620) und die zweite Metallleitung (640, 840, 2240, 3640) durch eine zweite Verbindungsschicht verbindet, die sich von der ersten Verbindungsschicht unterscheidet, wobei die verbundene erste Metallleitung, zweite Metallleitung und erste Verbindungsstruktur als Zugriffsleitung (WL, BL, BLB) der Arrayzelle (SC) dienen, wobei die erste und die zweite Verbindungsschicht „Back-End-of-Line“ (BEOL) - Verbindungsschichten des Halbleiterchips sind.
  11. Halbleiterchip (1800, 2200, 2400, 2500, 2502, 2900, 2902, 3100, 3102, 3600, 3700) nach Anspruch 10, wobei die erste Verbindungsstruktur einen ersten durchgehenden Abschnitt (630, 830, 2230, 3630) aufweist, der in der zweiten Verbindungsschicht ausgebildet ist; und der erste durchgehende Abschnitt die erste Metallleitung (620, 820, 2220, 3620) und die zweite Metallleitung (640, 840, 2240, 3640) überlappt.
  12. Halbleiterchip (1800, 2500) nach Anspruch 11, wobei die erste Verbindungsstruktur weiter einen zusätzlichen Abschnitt (2536) aufweist, der in einer Schicht ausgebildet ist, die sich von der ersten Verbindungsschicht und der zweiten Verbindungsschicht unterscheidet und mit dem ersten durchgehenden Abschnitt (630) verbunden ist.
  13. Halbleiterchip (100, 1800, 2200A, 2200B, 2400, 2500, 2900, 3600, 3700) nach Anspruch 11 oder 12, wobei der erste durchgehende Abschnitt (130, 630, 2230, 3630) eine Form hat, die mindestens eine erste Ecke (132) und eine zweite Ecke (134) aufweist; wobei die erste Ecke (132) von im Wesentlichen entlang der ersten Richtung (Y) zu im Wesentlichen entlang einer zweiten Richtung (X) abgewinkelt ist, die im Wesentlichen rechtwinklig zu der ersten Richtung (Y) ist; und wobei die zweite Ecke (134) von im Wesentlichen entlang der ersten Richtung (Y) zu im Wesentlichen entlang der zweiten Richtung (X) abgewinkelt ist.
  14. Halbleiterchip (300, 1800, 2200C) nach einem der Ansprüche 11 bis 13, wobei der erste durchgehende Abschnitt (330, 2230) eine im Wesentlichen rechtwinklige Form hat, die eine Breite (W33) aufweist, die sich über eine Breite (W22) eines ersten Endabschnitts (322) der ersten Metallleitung (220, 2220) und eine Breite (W24) eines zweiten Endabschnitts (342) der zweiten Metallleitung (240, 2240) erstreckt.
  15. Halbleiterchip (200, 300, 350, 1000, 1100, 1400, 1800, 2200B, 3100) nach einem der Ansprüche 11 bis 14, wobei der erste durchgehende Abschnitt (230, 330, 1430, 2230) dort um mindestens etwa 10% breiter ist, wo der erste durchgehende Abschnitt (230, 330, 1430, 2230) die erste Metallleitung (220, 320, 620, 2220) überlappt.
  16. Halbleiterchip (400B, 400C, 400D, 1300B, 1800, 2200B, 2200C, 2200D) nach einem der Ansprüche 10 bis 15, wobei die Arrayzelle (SC) weiter eine dritte Metallleitung (170, 2070) aufweist, die in der ersten Verbindungsstruktur ausgebildet ist und sich im Wesentlichen entlang der ersten Richtung (Y) erstreckt; mindestens ein Teil der ersten Metallleitung (220, 820, 1120, 2220) dort, wo der erste durchgehende Abschnitt (230, 330, 830, 1130, 2230) mit der ersten Metallleitung (220, 820, 1120, 2220) überlappt, in der ersten Richtung (Y) jenseits der dritten Metallleitung (170, 2070) liegt.
  17. Halbleiterchip (100, 1800, 2200A, 2200B, 2400, 2500, 2900, 3600, 3700) nach einem der Ansprüche 10 bis 16, wobei die erste Verbindungsstruktur eine Single-Damascene-Durchkontaktierung aufweist, die die erste Metallleitung (620, 820, 2220, 3620) und die zweite Metallleitung (640, 840, 2240, 3640) überlappt.
  18. Halbleiterchip (1800, 2400) nach einem der Ansprüche 10 bis 17, wobei die Arrayzelle (SC) weiter eine dritte Metallleitung (2070) aufweist, die in der ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen entlang der ersten Richtung (Y) erstreckt; die Zugriffsschaltung (1802, 1804) weiter eine vierte Metallleitung (2090) aufweist, die in der ersten Verbindungsschicht ausgebildet ist und sich im Wesentlichen entlang der ersten Richtung (Y) erstreckt; und die Schaltung weiter eine zweite Verbindungsstruktur aufweist, die die dritte Metallleitung (2070) mit der vierten Metallleitung (2090) durch eine dritte Verbindungsschicht verbindet, die sich von der ersten Verbindungsschicht und der zweiten Verbindungsschicht unterscheidet, um einen Stromversorgungsknoten (CVdd) für die Arrayzelle (SC) auszubilden.
  19. Halbleiterchip (1800, 2200, 2400, 2500, 2502, 2900, 2902, 3100, 3102, 3600, 3700) nach einem der Ansprüche 10 bis 18, wobei die erste Verbindungsstruktur eine dritte Metallleitung aufweist, die die erste Metallleitung (620, 820, 2220, 3620) und die zweite Metallleitung (640, 840, 2240, 3640) überlappt.
  20. Layout (800), das Folgendes umfasst: eine erste Verbindungsschicht, die Folgendes umfasst: eine erste Metallleitung (820), die sich der Länge nach im Wesentlichen entlang einer ersten Richtung (Y) erstreckt und an einem ersten Endabschnitt (822) endet; eine zweite Metallleitung (840), die an einem zweiten Endabschnitt (842) beginnt, sich der Länge nach im Wesentlichen entlang der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und eine zweite Verbindungsschicht, die sich von der ersten Verbindungsschicht unterscheidet, wobei die zweite Verbindungsschicht Folgendes umfasst: eine erste Form (830), die den ersten Endabschnitt und den zweiten Endabschnitt überlappt, wobei die erste Form (830) eine Kombination aus zweiten Formen (831, 833) ist; eine dritte Form (831) in den zweiten Formen den ersten Endabschnitt (822) überlappt und höchstens teilweise den zweiten Endabschnitt (842) überlappt und eine vierte Form (833) in den zweiten Formen den zweiten Endabschnitt (842) überlappt und höchstens teilweise den ersten Endabschnitt (822) überlappt; und die dritte Form (831) die vierte Form (833) überlappt.
  21. Layout (1400), das Folgendes umfasst: eine erste Verbindungsschicht, die Folgendes umfasst: eine erste Metallleitung (620), die sich der Länge nach im Wesentlichen entlang einer ersten Richtung (Y) erstreckt und an einem ersten Endabschnitt (1422) endet; eine zweite Metallleitung (640), die an einem zweiten Endabschnitt (1442) beginnt, sich der Länge nach im Wesentlichen entlang der ersten Richtung (Y) erstreckt und gegenüber der ersten Metallleitung in der ersten Richtung (Y) nichtfluchtend angeordnet ist; und eine zweite Verbindungsschicht, die sich von der ersten Verbindungsschicht unterscheidet, wobei die zweite Verbindungsschicht Folgendes umfasst: eine erste Form (1430), die den ersten Endabschnitt und den zweiten Endabschnitt überlappt, wobei die erste Form (1430) eine dritte Metallleitung (1426) ist, die sich der Länge nach im Wesentlichen entlang einer zweiten Richtung (X) erstreckt, die rechtwinklig zu der ersten Richtung (Y) ist, und den ersten Endabschnitt (1422) und den zweiten Endabschnitt (1442) überlappt; und das Layout weiter eine Schnittlinienschicht (1427, 1428) aufweist, die Folgendes umfasst: eine erste Schnittlinie (1427) und eine zweite Schnittlinie (1428), wobei der erste Endabschnitt (1422) und der zweite Endabschnitt (1442) zwischen der ersten Schnittlinie (1427) und der zweiten Schnittlinie (1428) angeordnet sind, und wobei die erste Schnittlinie (1427) und die zweite Schnittlinie (1428) sich über eine Breite (W1426) der dritten Metallleitung (1426) erstrecken.
DE102015122667.7A 2014-12-26 2015-12-23 Verbindungsstruktur mit nichtfluchtenden metallleitungen, die durch eine andere verbindungsschicht verbunden sind Active DE102015122667B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462096978P 2014-12-26 2014-12-26
US62/096,978 2014-12-26
US14/978,487 2015-12-22
US14/978,487 US9583438B2 (en) 2014-12-26 2015-12-22 Interconnect structure with misaligned metal lines coupled using different interconnect layer

Publications (2)

Publication Number Publication Date
DE102015122667A1 DE102015122667A1 (de) 2016-06-30
DE102015122667B4 true DE102015122667B4 (de) 2020-10-01

Family

ID=56116797

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015122667.7A Active DE102015122667B4 (de) 2014-12-26 2015-12-23 Verbindungsstruktur mit nichtfluchtenden metallleitungen, die durch eine andere verbindungsschicht verbunden sind

Country Status (5)

Country Link
US (2) US9583438B2 (de)
KR (1) KR101780872B1 (de)
CN (1) CN105762110B (de)
DE (1) DE102015122667B4 (de)
TW (1) TWI600142B (de)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108022905A (zh) * 2016-11-04 2018-05-11 超威半导体公司 使用多个金属层的转接板传输线
US9825032B1 (en) 2016-11-23 2017-11-21 Globalfoundries Inc. Metal layer routing level for vertical FET SRAM and logic cell scaling
US10249496B2 (en) * 2017-05-05 2019-04-02 Globalfoundries Inc. Narrowed feature formation during a double patterning process
US10325845B2 (en) * 2017-06-21 2019-06-18 Qualcomm Incorporated Layout technique for middle-end-of-line
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
KR102335107B1 (ko) 2017-10-16 2021-12-03 삼성전자 주식회사 로우 디코더를 포함하는 비휘발성 메모리 장치
US10678142B2 (en) 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
CN109994475B (zh) * 2018-01-03 2022-07-05 蓝枪半导体有限责任公司 半导体元件与半导体装置
US10916498B2 (en) 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US10418368B1 (en) 2018-07-10 2019-09-17 Globalfoundries Inc. Buried local interconnect in source/drain region
US11055464B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10867108B2 (en) 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10866505B2 (en) 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US10727237B2 (en) 2018-09-27 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11092899B2 (en) 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
KR102601866B1 (ko) * 2019-01-16 2023-11-15 에스케이하이닉스 주식회사 반도체 장치
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US10978460B2 (en) 2019-04-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11031336B2 (en) * 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
US11295056B2 (en) 2020-01-31 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Vertex-based OPC for opening patterning
US11137691B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fixing blank mask defects by revising layouts
TWI746332B (zh) * 2020-12-30 2021-11-11 華邦電子股份有限公司 半導體連接結構及其製造方法
US11587872B2 (en) 2021-02-12 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for improving memory performance and/or logic performance
US11610043B2 (en) 2021-03-05 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning based model builder and its applications for pattern transferring in semiconductor manufacturing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040227241A1 (en) * 2003-02-21 2004-11-18 Yoshiyuki Enomoto Semiconductor device and method of manufacturing the same
US20080048333A1 (en) * 2006-08-24 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor Device Having Buried Word Line Interconnects and Method of Fabricating the Same
DE102012219375A1 (de) * 2011-12-13 2013-06-13 Globalfoundries Inc. Halbleitervorrichtung mit lokalen Transistorverbindungsleitungen

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375489B1 (en) 2000-01-10 2002-04-23 Miraco, Inc. Dynamic contact orientating universal circuit grabber
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
EP2383661A1 (de) 2005-04-21 2011-11-02 Violin Memory, Inc. Verbindungssystem
CN1988146A (zh) * 2005-12-22 2007-06-27 中芯国际集成电路制造(上海)有限公司 哑元图案和机械增强低k介电材料的制造方法
CN101232037A (zh) * 2008-02-26 2008-07-30 中国科学院上海微系统与信息技术研究所 多层次相变存储阵列与下层外围电路互连的方法
JP2009252805A (ja) * 2008-04-01 2009-10-29 Nec Electronics Corp 半導体集積回路、半導体集積回路のレイアウト方法およびレイアウトプログラム
KR101557102B1 (ko) * 2009-03-12 2015-10-13 삼성전자주식회사 반도체 소자의 금속 연결배선
US9385088B2 (en) * 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US8687437B2 (en) 2010-11-30 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuitry
US8630132B2 (en) 2011-05-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM read and write assist apparatus
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8605523B2 (en) 2012-02-17 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking capacitive loads
US8964492B2 (en) 2012-07-27 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking mechanism for writing to a memory cell
US8760948B2 (en) 2012-09-26 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bitcells tracking scheme semiconductor memory array
US8982643B2 (en) 2012-12-20 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared tracking circuit
US9324413B2 (en) 2013-02-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuit, memory device and method
US8929160B2 (en) 2013-02-28 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking circuit
US9117510B2 (en) 2013-03-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit for memory write data operation
US9129707B2 (en) 2013-10-02 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port SRAM with dummy read recovery
US10431295B2 (en) 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US9281056B2 (en) 2014-06-18 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of using the same
US9218872B1 (en) 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
US9564211B2 (en) 2014-06-27 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory chip and layout design for manufacturing same
US9455025B2 (en) 2014-06-27 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US20160079167A1 (en) * 2014-09-12 2016-03-17 Qualcomm Incorporated Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040227241A1 (en) * 2003-02-21 2004-11-18 Yoshiyuki Enomoto Semiconductor device and method of manufacturing the same
US20080048333A1 (en) * 2006-08-24 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor Device Having Buried Word Line Interconnects and Method of Fabricating the Same
DE102012219375A1 (de) * 2011-12-13 2013-06-13 Globalfoundries Inc. Halbleitervorrichtung mit lokalen Transistorverbindungsleitungen

Also Published As

Publication number Publication date
CN105762110B (zh) 2019-06-21
TWI600142B (zh) 2017-09-21
KR101780872B1 (ko) 2017-09-22
DE102015122667A1 (de) 2016-06-30
TW201635494A (zh) 2016-10-01
US9865542B2 (en) 2018-01-09
KR20160079710A (ko) 2016-07-06
US9583438B2 (en) 2017-02-28
CN105762110A (zh) 2016-07-13
US20160190065A1 (en) 2016-06-30
US20170133320A1 (en) 2017-05-11

Similar Documents

Publication Publication Date Title
DE102015122667B4 (de) Verbindungsstruktur mit nichtfluchtenden metallleitungen, die durch eine andere verbindungsschicht verbunden sind
DE102014207415B4 (de) Verfahren zur Herstellung dicht gepackter Standardzellen für integrierte Schaltungsprodukte
DE102005001134B4 (de) Knotenpunkt-Kontaktstrukturen in Halbleitervorrichtungen, insbesondere SRAM-Vorrichtungen, und Verfahren zur Herstellung derselben
DE102013102427B4 (de) Dual-Port-SRAM-Verbindungsstruktur
DE102005000997B4 (de) Integrierte Halbleiterschaltungen mit gestapelten Knotenkontaktstrukturen und Verfahren zum Herstellen solcher Vorrichtungen
DE19781675B4 (de) Speicherzellengestaltung mit vertikal gestapelten Überkeuzungen
DE19832795B4 (de) Statische Zelle eines Speichers für wahlfreien Zugriff mit optimiertem Seitenverhältnis und Halbleiterspeichervorrichtung, die mindestens eine Speicherzelle umfasst
DE10104082C2 (de) Halbleiterspeichervorrichtung mit einer auf einer Oberfläche von Dotierstoffdiffusionszonen gebildeten Silizidschicht und deren Herstellungsverfahren
DE102010037093A1 (de) Halbleitervorrichtung mit vergrabenen Wortleitungen
DE102018126874A1 (de) Speicherlayout für verringerte Leitungsbelastung
DE3922456A1 (de) Halbleiterspeichereinrichtung und verfahren zur herstellung derselben
DE102019114226A1 (de) Techniken zur verbindung von oberseiteneletrodendurchkontaktierungen von mram
DE60132152T2 (de) Herstellungsverfahren von einem randlosen Kontakt auf Bitleitungskontaktstutzen mit einer Ätzstopschicht
DE10300038A1 (de) Anordnungen für CMOS-SRAM-Zellen und -Vorrichtungen
DE102016125703A1 (de) Speicherarray-Struktur und Verfahren zu ihrer Herstellung
DE102020111649B4 (de) Integrierte Schaltungsvorrichtung
DE102007008989B4 (de) Herstellungsverfahren für eine integrierte Halbleiterspeichervorrichtung und entsprechende Halbleiterspeichervorrichtung
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE10145720A1 (de) Halbleiterspeichervorrichtung
DE4312468A1 (de) Dynamische Speicherzelle mit wahlfreiem Zugriff und Verfahren zu ihrer Herstellung
DE10334547B4 (de) Herstellungsverfahren für einen Grabenkondensator mit einem Isolationskragen, der über einen vergrabenen Kontakt einseitig mit einem Substrat elektrisch verbunden ist
DE102021105045A1 (de) Speichermatrix und verfahren zu deren herstellung
EP1709681B1 (de) Halbleiterspeicherzelle sowie zugehöriges herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final