CN105762110A - 具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局 - Google Patents

具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局 Download PDF

Info

Publication number
CN105762110A
CN105762110A CN201511000698.8A CN201511000698A CN105762110A CN 105762110 A CN105762110 A CN 105762110A CN 201511000698 A CN201511000698 A CN 201511000698A CN 105762110 A CN105762110 A CN 105762110A
Authority
CN
China
Prior art keywords
metal wire
layer
end part
interconnection
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201511000698.8A
Other languages
English (en)
Other versions
CN105762110B (zh
Inventor
廖忠志
陈炎辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105762110A publication Critical patent/CN105762110A/zh
Application granted granted Critical
Publication of CN105762110B publication Critical patent/CN105762110B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明涉及具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局。在一些实施例中,一种互连结构包含第一金属线、第二金属线及第一连接结构。所述第一金属线形成于第一互连层中,在长度上大体上沿着第一方向延伸且在第一末端部分处结束。所述第二金属线形成于所述第一互连层中,从第二末端部分开始且在长度上大体上沿着所述第一方向延伸。所述第二金属线在所述第一方向上与所述第一金属线未对准。所述第一连接结构将所述第一金属线耦合到所述第二金属线。所述第一连接结构包含形成于不同于所述第一互连层的第二互连层中的第一端到端部分,且与所述第一末端部分和所述第二末端部分重叠。

Description

具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局
技术领域
一种互连结构,特别是关于具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局。
背景技术
半导体芯片包含提供不同功能且进行通信以实现目的的电路块。举例来说,单组静态随机存取存储器(SRAM)芯片包含例如阵列单元的阵列、字线解码电路和输入/输出(IO)电路等电路块。阵列单元的阵列用于在可址寻的位置存储数据。字线解码电路用于根据行地址选择所述阵列单元的阵列中的行以用于存取。IO电路用于根据列地址存取阵列单元的所述选定行中的列。半导体芯片的互连结构包含对应用于阵列单元的阵列、字线解码电路和IO电路等的金属线部分。每一金属线从一个部分延伸到另一部分。例如,沿着阵列单元的阵列的列延行的位线和互补位线延伸到IO电路以使得所述IO电路可存取选定阵列单元。电路块连同互连结构的耦合的相应部分一起用以存储和恢复数据。
发明内容
在一些实施例中,一种互连结构包含第一金属线、第二金属线及第一连接结构。所述第一金属线形成于第一互连层中,在长度上大体上沿着第一方向延伸且在第一末端部分处结束。所述第二金属线形成于所述第一互连层中,从第二末端部分开始且在长度上大体上沿着所述第一方向而延伸。所述第二金属线与所述第一金属线在所述第一方向上未对准。所述第一连接结构将所述第一金属线耦合到所述第二金属线。所述第一连接结构包含形成于不同于所述第一互连层的第二互连层中的第一端对端部分,且与所述第一末端部分和所述第二末端部分重叠。
在一些实施例中,一种半导体芯片包含阵列单元、存取电路和第一连接结构。所述阵列单元包含形成于第一互连层中且大体上沿着第一方向延伸的第一金属线。所述存取电路经配置以存取所述阵列单元,且包含形成于第一互连层中且大体上沿着第一方向延伸的第二金属线。所述第一连接结构使用不同于第一互连层的第二互连层将第一金属线耦合到第二金属线。耦合的第一金属线、第二金属线和第一连接结构充当阵列单元的存取线。
附图说明
当结合附图阅读时,从以下实施方式最好地理解本发明的各方面。应注意,根据业界中的标准惯例,各种特征未按比例绘制。事实上,为了论述清楚起见,可任意增加或减小各种特征的尺寸。
图1A是根据一些实施例的具有未对准金属线且使用下方互连层耦合的互连结构的透视图。
图1B是根据一些实施例的具有对准金属线且使用下方互连层耦合的另一互连结构的透视图。
图2A是根据一些实施例的图1A中所示的互连结构的俯视图,其具有两次弯曲且大体上非加宽的端到端部分。
图2B是根据一些实施例的具有两次弯曲且加宽的端到端部分的另一互连结构的俯视图。
图2C是根据一些实施例的具有大体上矩形端到端部分的另一互连结构的俯视图。
图2D是根据一些实施例的具有一次弯曲且大体上加宽的端到端部分的另一互连结构的俯视图。
图3是根据一些实施例的沿着图1A中所示的线A-A'的互连结构的横截面图。
图4A是根据一些实施例的如图2A中所示的具有两次弯曲且大体上非加宽的端到端部分的互连结构的俯视图,所述端到端部分延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端。
图4B是根据一些实施例的如图2B中所示的具有两次弯曲且加宽的端到端部分的另一互连结构的俯视图,所述端到端部分大体上未延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端。
图4C是根据一些实施例的如图2C中所示的具有大体上矩形端到端部分的另一互连结构的俯视图,所述端到端部分大体上未延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端。
图4D是根据一些实施例的如图2D中所示的具有一次弯曲且大体上加宽的端到端部分的另一互连结构的俯视图,所述端到端部分大体上未延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端。
图5是根据一些实施例的具有形成于下方且耦合到如图1A中所示的端到端部分的额外部分的另一互连结构的透视图。
图6是根据一些实施例的用于形成图1A中所示的互连结构的布局的图。
图7A到7E是根据一些实施例的说明用于使用图6中所示的布局制造图1A中所示的互连结构的单图案化方法的横截面图。
图8是根据一些实施例的用于形成图2B中所示的互连结构的布局的图。
图9A到9C是根据一些实施例的说明用于使用图8中所示的布局形成图2B中所示的端到端部分的多图案化方法的横截面图。
图10A是根据一些实施例的具有使用上方互连层耦合的未对准金属线(与图1A中所示的那些相同)的互连结构的透视图。
图10B是根据一些实施例的具有使用上方互连层耦合的对准金属线(与图1B中所示的那些相同)的另一互连结构的透视图。
图11A是根据一些实施例的具有大体上非加宽的通孔的图10A中所示的互连结构的俯视图。
图11B是根据一些实施例的具有加宽的通孔的另一互连结构的俯视图。
图12是根据一些实施例的图10A中所示的沿着线F-F'截取的互连结构的横截面图。
图13A是根据一些实施例的具有如图11A中所示的非加宽的通孔的互连结构的俯视图,通孔耦合到大体上不延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端的对应末端部分。
图13B是根据一些实施例的具有如图11B中所示的加宽的通孔的另一互连结构的俯视图,通孔耦合到延伸超出邻近于所述端到端部分与其重叠的对应金属线的金属线的末端的对应末端部分。
图14是根据一些实施例的用于形成图10A中所示的互连结构的布局的图。
图15A到15D是根据一些实施例的说明用于使用图14中所示的布局制造图10A中所示的互连结构的方法的横截面图。
图16是根据一些实施例的包含图1A中所示的互连结构以及邻近于所述互连结构形成的图10B中所示的互连结构的互连结构的透视图。
图17是根据一些实施例的包含图10A中所示的互连结构以及邻近于所述互连结构形成的图1B中所示的互连结构的互连结构的透视图。
图18是根据一些实施例的半导体芯片中的SRAM宏的框图。
图19是根据一些实施例的图18中所示的电路的电路图。
图20是根据一些实施例的包含图23中所示的FEOL部分中的阵列单元的层以及BEOL部分中的阵列单元的通孔层via0的布局的图。
图21是根据一些实施例的包含图23中的BEOL部分中的阵列单元的层以及图23中所示的横截面中不可见的阵列单元的金属层M3的布局的图。
图22A是根据一些实施例的包含图23中所示的BEOL部分中的直到金属层M1的电路的层的布局的图。
图22B是根据一些实施例的包含图23中所示的BEOL部分中的电路的直到金属层M1的层的另一布局的图。
图22C是根据一些实施例的包含图23中所示的BEOL部分中的电路的直到金属层M1的层的另一布局的图。
图22D是根据一些实施例的包含图23中所示的BEOL部分中的电路的直到金属层M1的层的另一布局的图。
图23是根据一些实施例的对应于图20中所示的线H-H'、图21中所示的线I-I'以及22A中所示的线J-J'截取的电路的横截面的图。
图24是根据一些实施例的包含图23中所示的BEOL部分中的直到金属层M1的电路的层以及金属层M2中的电路的端到端部分的层的布局的图。
图25是根据一些实施例的包含图26中所示的BEOL部分中的直到金属层M1的电路的层以及图26中所示的FEOL部分中的接触层中的额外部分的布局的图。
图26是根据一些实施例的对应于图20中所示的线H-H'、图21中所示的线I-I'以及图25中所示的线K-K'截取的电路的横截面的图。
图27是根据一些实施例的包含图30中所示的FEOL部分中的阵列单元的层以及BEOL部分中的阵列单元的通孔层via0的布局的图。
图28是根据一些实施例的包含图30中的BEOL部分中的阵列单元的层以及图30中所示的横截面中不可见的阵列单元的金属层M3的布局的图。
图29是根据一些实施例的包含图30中所示的BEOL部分中的直到金属层M1的电路的层的布局的图。
图30是根据一些实施例的对应于图27中所示的线L-L'、图28中所示的线M-M'和图29中所示的线N-N'截取的电路的横截面的图。
图31是根据一些实施例的包含图33中所示的BEOL部分中的直到金属层M2的电路的层的有效布局的图。
图32是根据一些实施例的从其获得图31中所示的有效布局的实际布局的图。
图33是根据一些实施例的对应于图27中所示的线L-L'、图28中所示的线M-M'和图31中所示的线O-O'截取的电路的横截面的图。
图34是根据一些实施例的包含双端口SRAM单元和IO电路的对应部分的电路的电路图。
图35是根据一些实施例的包含图30中所示的FEOL部分中的阵列单元的层以及图30中所示的BEOL部分中的阵列单元的通孔层via0的布局的图。
图36是根据一些实施例的图34中所示的电路的布局的图。
图37是根据其它实施例的图34中所示的电路的布局的图。
具体实施方式
以下揭示内容提供用于实施所提供的标的物的不同特征的许多不同实施例或实例。下文描述元件以及布置的具体实例以简化本发明。当然,这些仅为实例且并不希望为限制性的。例如,在以下描述中,第一特征在第二特征上方或上的形成可包含第一特征和第二特征直接接触地形成的实施例,且还可包含额外特征可在第一特征与第二特征之间形成使得第一特征与第二特征可不直接接触的实施例。另外,本揭示内容可在各种实例中重复参考标号和/或字母。此重复是出于简单和清楚的目的,且本身并不指示所论述的各种实施例和/或配置之间的关系。贯穿本发明用相同参考标号标注相同元件。
在一些实施例中,短语“A和/或B”用以描述包含仅A、仅B以及A和B的集合。
在一些实施例中,例如“X方向”和“Y方向”、“与X方向相反的方向”和“Y方向”、“X方向”和“与Y方向相反的方向”以及“与X方向相反的方向”和“与Y方向相反的方向”、“开始”和“结束”、“后方”和“超过”、“上方”和“下方”及类似术语等空间相对术语可以为了描述方便而用来描述如图式中所说明的一个元件或一个特征与另一元件或另一特征的关系。除图中所描绘的定向之外,空间上相对的术语意图涵盖在使用或操作中的装置的不同定向。设备可以其它方式定向(旋转90度或处于其它定向),且本文中所使用的空间相对描述词同样可相应地进行解释。
在一些实施例中,当一元件称为“连接到”或“耦合到”另一元件时,其可直接连接到或耦合到另一元件,或可存在介入元件。
具有使用下方层耦合的未对准金属线的互连结构
随着半导体芯片中的金属线的宽度持续收缩例如达到10nm或10nm以下,更具限制性的布线规则应用于芯片设计以适应其中由于现有光刻工具的局限性而产生金属线图案化中的困难的情形。此些限制性布线规则的实例是单向布线规则以及一些金属层中的金属线的固定间距环境。在这些限制性布线规则下,金属层中的金属线中不允许弯曲或微动。因此,如其它方法中在栅格上布设金属线的一部分、弯曲金属线以及不在栅格上布设金属线的另一部分的芯片面积减少的策略无法采用。为了补救此些限制,在一些实施例中,第一互连层中的未对准金属线,即在栅格上布设的金属线的一部分和不在栅格上布设的金属线的另一部分,是通过连接结构连接的,所述连接结构包含第一互连层下方的第二互连层中的端到端部分。所述连接结构是单镶嵌结构,并且因此与经受第一互连层的限制性布线规则的未对准金属线分开地形成。
在一些实施例中,术语“布线”指代形成对应于网表的布局中的阶段,其中给定单元的放置、网表和技术信息,以优化目标确定用以连接所述单元的必要接线,所述优化目标例如在例如设计规则和布线资源等约束下最小化总导线长度。
图1A是根据一些实施例的具有使用下方互连层耦合的未对准金属线120和140的互连结构100的透视图。图1A说明未对准金属线120和140的连接结构124,其在金属线120和140驻留于其中的金属层M1下方的通孔层via0中包含端到端部分130。在一些实施例中,互连结构100包含金属线120和140以及将金属线120耦合到金属线140的连接结构124。金属线120形成于金属层M1中且在长度中大体上沿着Y方向延伸。金属线140也形成于与金属线120相同的互连层中且在长度中大体上沿着Y方向延伸。金属线120沿着Y方向与金属线140未对准。换句话说,金属线140相对于金属线120沿着大体上正交于Y方向的X方向移位。连接结构124包含端到端部分130。端到端部分130形成于金属层M1下方的通孔层via0中,且与金属线120和140重叠。
在一些实施例中,针对第一方向和第二方向的术语“大体上沿着”、“大体上平行”或“大体上正交”指代从参考方向在例如5度、10度和15度等偏差角度内的第一方向。对于“大体上沿着”或“大体上平行”,参考方向是第二方向,且对于“大体上正交”,参考方向与第二方向成90度。确定第一方向“大体上沿着”、“大体上平行”或“大体上正交”于第二方向的其它方式在本发明的预期范围内。举例来说,第一方向从第一参考方向的偏差角度与第二方向从第二参考方向的偏差角度的比率大于例如85%、90%和95%等百分比。对于“大体上沿着”或“大体上平行”,第一参考方向与第二参考方向相同,且对于“大体上正交”,第一参考方向与第二参考方向成90度。对于另一实例,第一方向从第一参考方向的偏差角度与第二方向从第二参考方向的偏差角度之间的差小于第二方向从第二参考方向的偏差角度的例如5%、10%和15%等百分比。
图1B是根据一些实施例的具有使用下方互连层耦合的对准金属线170和190的另一互连结构150的透视图。类似于图1A中的金属线120和140,金属线170和190在长度中大体上沿着Y方向延伸。与图1A中所示的互连结构100相比,形成于金属层M1中的金属线170和190在Y方向中彼此对准。互连结构150包含金属线170和190以及将金属线170耦合到金属线190的连接结构174。类似于参考图1A描述的连接结构124,连接结构174包含形成于金属层M1下方的通孔层via0中的端到端部分190,且与金属线170和190重叠。
形成于金属层M1中的互连结构100或150和通孔层via0是示范性的。形成于其它互连层中的互连结构,例如形成于金属层M2和通孔层via1中的互连结构、形成于金属层M3和通孔层via2中的互连结构、形成于金属层M4和通孔层via3中的互连结构等,在本发明的预期范围内。
图2A是根据一些实施例的图1A中所示的互连结构100的俯视图,其具有两次弯曲且大体上非加宽的端到端部分130。图2A说明与金属线120的末端部分122和金属线140的末端部分142重叠且具有两次弯曲形状的端到端部分130。在一些实施例中,金属线120在长度中大体上沿着Y方向延伸且在末端部分122处结束。金属线140在末端部分142处开始且在长度中大体上沿着Y方向延伸。端到端部分130与末端部分122和末端部分142重叠。此外,在一些实施例中,端到端部分130具有两次弯曲形状,其大体上沿着Y方向延行以与末端部分122重叠,弯曲成大体上沿着X方向延行且再次弯曲成大体上沿着Y方向延行以与末端部分142重叠。因此,端到端部分130具有至少拐角132、拐角134和拐角136。拐角132从大体上沿着Y方向弯曲到大体上沿着X方向,或等效地从大体上沿着与X方向相反的方向弯曲到与Y方向相反的方向。拐角134从大体上沿着Y方向弯曲到大体上沿着X方向。拐角136从大体上沿着X方向弯曲到大体上沿着Y方向。拐角132的等效描述也适用于拐角134和136。
在一些实施例中,端到端部分130与末端部分122接触处的端到端部分130的宽度W13大体上不比末端部分122的宽度W12宽,以避免干扰例如通孔层via0等同一互连层中的其它通孔。类似地,端到端部分130与末端部分142接触处的端到端部分130的宽度W13大体上不比末端部分142的宽度W14宽。
在一些实施例中,金属线120的末端部分122和金属线140的末端部分142具有均匀宽度。因此,宽度W12和宽度W14是所述的均匀宽度。在其它实施例中,金属线120的末端部分122和金属线140的末端部分142具有不均匀宽度,例如用于锥形线末端的那些宽度。因此,宽度W12和宽度W14中的每一者是相应末端部分122或142的最大宽度。贯穿本发明可相似地使用末端部分122的宽度W12经界定的方式。
在一些实施例中,短语“在端到端部分130与末端部分122重叠处的端到端部分130”指代端到端部分130的从沿着Y方向的末端部分122的顶部延伸到沿着Y方向的末端部分122的底部的一部分。其中“在…处”用以界定端到端部分130的一部分的以上短语可贯穿本发明相似地使用。
在一些实施例中,端到端部分130与末端部分122和末端部分142重叠处的端到端部分130具有均匀宽度。因此,宽度W13是所述的均匀宽度。在其它实施例中,端到端部分130与末端部分122和末端部分142重叠处的端到端部分130具有不均匀宽度。因此,宽度W13是端到端部分130与末端部分122或末端部分142重叠处的最大宽度。端到端部分130与末端部分122重叠处的端到端部分130的宽度W13经界定的方式可贯穿本发明相似地使用。
在图2A中所示的实施例中,端到端部分130在端到端部分130与末端部分122和末端部分142重叠处具有相同宽度W13。例如在端到端部分130与末端部分122和末端部分142重叠处具有不同宽度的端到端部分130等其它实施例在本发明的预期范围内。
在一些实施例中,本文所使用的术语“大体上不更宽”指示第一宽度比第二宽度宽不超过第一宽度的例如5%、10%和15%等百分比。确定第一宽度“大体上不宽于”第二宽度的其它方式在本发明的预期范围内。举例来说,第二宽度与第一宽度的比率大于例如85%、90%或95%等百分比。对于另一实例,第一宽度与第二宽度的差小于根据设计规范预定的数字。
在参考图2A描述的实施例中,端到端部分130弯曲两次以从与末端部分122重叠转变为与末端部分142重叠。弯曲超过两次(例如四次、六次)的端到端部分130在本发明的预期范围内。
图2B是根据一些实施例的具有两次弯曲且加宽的端到端部分230的另一互连结构200的俯视图。互连结构200包含金属线220、金属线240和端到端部分230,对应于参考图2A描述的对应金属线120、金属线140和端到端部分130。在端到端部分230与对应金属线220和240重叠处金属线220具有末端部分222且金属线240具有末端部分242。末端部分222和242对应于参考图2A描述的对应末端部分122和142。与金属线120和140相比,金属线220和240进一步朝向彼此延伸。与端到端部分130相比,端到端部分230在端到端部分230与末端部分222接触处具有比末端部分222的宽度W22宽的宽度W23,和/或在端到端部分230与末端部分242接触处具有比末端部分242的宽度W24宽的宽度W23。在一些实施例中,宽度W23比宽度W22和/或宽度W24宽等于大约30%的第一因数以减少端到端部分230的电阻。考虑由过程变化引入的宽度W23和W22和/或宽度W23和W24的变化,第一因数的下限高于大约10%以使得宽度W23比宽度W22和/或宽度W24宽。设定第一因数的上限以使得与通孔层via0中的邻近结构维持最小间距。
在图2B中所示的实施例中,端到端部分230在端到端部分230与末端部分222和末端部分242重叠处具有相同宽度W23。例如在端到端部分230与末端部分222和末端部分242重叠处具有不同宽度的端到端部分230等其它实施例在本发明的预期范围内。
在一些实施例中,术语“大约”指代第一数字与所述第一数字大约等于的第二数字之间的绝对差在所述第二数字的例如5%、10%或15%等百分比内。确定第一数字等于“大约”第二数字的其它方式在本发明的预期范围内。举例来说,第一数字和第二数字中的较小者与第一数字和第二数字之间的较大者的比率大于例如85%、90%和95%等百分比。对于另一实例,第一数字与第二数字之间的绝对差小于根据设计规范预定的数字。
图2C是根据一些实施例的具有大体上矩形端到端部分330的另一互连结构300的俯视图。互连结构300中标注有与互连结构200中的元件的参考标号相同参考标号的元件与图2B中所示的互连结构200中的元件相同。金属线220具有末端部分322,且金属线240具有末端部分342。末端部分322和342对应于参考图2B描述的对应末端部分222和242。与参考图2B描述的互连结构200相比,互连结构300包含具有大体上矩形形状而不是两次弯曲形状的端到端部分330。在一些实施例中,具有大体上矩形形状的端到端部分330具有跨越末端部分322的宽度W22和末端部分324的宽度W24的宽度W33。在一些实施例中,宽度W22与宽度W24重叠,并且因此跨越宽度W22和W24的宽度W33至少是宽度W22和W24的总和减去宽度W22和W24的的重叠部分。在其它实施例中,宽度W22未与宽度W24重叠,并且因此跨越宽度W22和W24的宽度W33是宽度W22和W24以及宽度W22与W24之间的非重叠部分的宽度的总和。在其它实施例中,端到端部分330在其与金属线220和240重叠处较宽。此外,端到端部分330未延伸如同端到端部分230大体上沿着与Y方向相反的方向和Y方向延伸那样远。因此,与参考图2B描述的末端部分222和242相比,末端部分322和342具有较小面积。
在一些实施例中,术语“大体上矩形形状”或“大体上矩形”指代所述形状的相对侧“大体上平行”于彼此。另外,所述形状的拐角是尖锐的或圆化的。
图2D是根据一些实施例的具有一次弯曲且大体上加宽的端到端部分380的另一互连结构350的俯视图。互连结构350中标注有与图2C中所示的互连结构300中的元件的参考标号相同参考标号的元件与互连结构300中的元件相同。与参考图2C描述的互连结构300相比,互连结构350包含具有一次弯曲形状的端到端部分380,其大体上沿着Y方向延行且弯曲成大体上沿着X方向延行。在一些实施例中,所述一次弯曲形状具有至少拐角382和拐角384。类似于端到端部分130的两次弯曲形状的拐角132和134,所述一次弯曲形状的对应拐角382和384从大体上沿着Y方向弯曲到大体上沿着X方向。
在一些实施例中,大体上沿着Y方向延行的端到端部分380与末端部分222重叠,且大体上沿着X方向延行的端到端部分380与末端部分342重叠。在一些实施例中,类似于图2B中所示的端到端部分230的宽度W23和末端部分222的宽度W22,端到端部分380在端到端部分380与末端部分222重叠处的宽度W52比末端部分222的宽度W22宽第一因数。类似于图2C中的端到端部分330的宽度W33,端到端部分380在端到端部分380与末端部分342重叠处的宽度W54跨越宽度W22和W24
如图2D中所示的具有一次弯曲且大体上加宽的端到端部分380的互连结构350是示范性的。实施端到端部分的其它方式在本发明的预期范围内。举例来说,类似于图2B中所示的宽度W23的加宽的宽度可在端到端部分与金属线240的末端部分重叠处应用,且类似于图2C中所示的端到端部分330的宽度W33的跨越对应金属线220和240的宽度W22和W24的宽度可在端到端部分与金属线220的末端部分重叠处应用。
图3是根据一些实施例的沿着图1A中所示的线A-A'的互连结构100的横截面图。图3说明包含端到端部分130和在上方且与端到端部分130接触的金属线120的单镶嵌互连结构102。端到端部分130也被称作单镶嵌通孔。将参考图7A到7E描述单镶嵌互连结构102的形成。端到端部分130包含扩散势垒层130A和导电层130B。扩散势垒层130A形成端到端部分130的侧壁和底部。导电层130B填充由扩散势垒层130A包围的空间。金属线120包含扩散势垒层120A和导电层120B。扩散势垒层120A形成金属线120的侧壁和底部且导电层120B填充由扩散势垒层120A包围的空间。在一些实施例中,在单镶嵌互连结构102中,在金属线120的底部的扩散势垒层120A与端到端部分130的导电层130B的顶部重叠。
图4A是根据一些实施例的如图2A中所示具有两次弯曲且大体上非加宽的端到端部分130的互连结构400A的俯视图,端到端部分130延伸超出邻近于端到端部分130与其重叠的对应金属线120和140的金属线170和190的末端。金属线170和190的末端展示为与对应线154和156重合。互连结构400A包含参考图2A描述的互连结构100和邻近互连结构152。互连结构152中标注有与参考图1B描述的互连结构150中的元件的那些参考标号相同参考标号的元件与互连结构150中的元件相同。在一些实施例中,金属线170邻近于金属线120形成,且金属线190邻近于金属线140形成。金属线120和金属线170沿着Y方向在大体上同一线154处结束。金属线140和金属线190沿着Y方向在大体上同一线156处开始。端到端部分130相对于线154沿着与Y方向相反的方向延伸超出线154而到达金属线170的侧面上的末端部分122,且相对于线156沿着Y方向延伸超出线156而到达金属线190的侧面上的末端部分142。在参考图2A描述的一些实施例中,与对应末端部分122和142相比,端到端部分130未在端到端部分130与末端部分122和142接触处大体上加宽,以避免干扰例如通孔层via0等同一互连层中的其它通孔。
在一些实施例中,术语“大体上非加宽的”指代第一形状的第一宽度“大体上不宽于”第二形状的第二宽度。
在一些实施例中,当第一金属线与第二金属线“大体上在同一线处”开始或结束时,第一金属线在与第二金属线的末端重合的线后方或超出所述线的第一距离在平行于所述第一距离的第一金属线的最大长度的例如5%、10%和15%等百分比内。确定第一金属线与第二金属线“大体上在同一线处”开始或结束的其它方式在本发明的预期范围内。举例来说,第一金属线在所述线后方或超出所述线的第一距离与第二金属线在所述线后方或超出所述线的第二距离的比率大于例如85%、90%和95%等百分比。对于另一实例,第一金属线在所述线后方或超出所述线的第一距离与第二金属线在所述线后方或超出所述线的第二距离之间的差小于根据设计规范预定的数字。
图4B是根据一些实施例的如图2B中所示具有两次弯曲且加宽的端到端部分230的另一互连结构400B的俯视图,端到端部分230未大体上延伸超出邻近于端到端部分230与其重叠的对应金属线220和240的金属线170和190的末端。金属线170和190的末端展示为与对应线154和156重合。与参考图4A描述的互连结构400A相比,互连结构400B包含参考图2B描述的互连结构200而不是参考图2A描述的互连结构100。与互连结构100的金属线120相比,金属线220在长度中大体上沿着Y方向延伸且超出金属线170结束处的线154。与互连结构100的金属线140相比,金属线240大体上沿着Y方向在金属线190开始处的线156后方开始,且大体上沿着Y方向延伸。与互连结构100的端到端部分130相比,端到端部分230沿着与Y方向相反的方向未大体上延伸超出线154,且沿着Y方向未大体上延伸超出线156。端到端部分230与相对于线154在金属线170的相对侧上的末端部分222重叠且与相对于线156在金属线190的相对侧上的末端部分242重叠。在不延伸超出线154和156的情况下,端到端部分230不邻近于例如通孔层via0等同一互连层中的其它通孔。当第一形状不邻近于同一互连层中的第二形状时,第一形状的边缘中的任一者都不面对第二形状的任何边缘。因此,在参考图2B描述的一些实施例中,与对应末端部分222和242相比,端到端部分230在端到端部分230与末端部分222和242接触处加宽。
在一些实施例中,针对一形状的术语“未大体上延伸超出线”指代所述形状的末端在所述线后方或超出所述线的的第一距离在平行于所述第一距离的所述形状的最大长度的例如5%、10%和15%等百分比内。确定所述形状“未大体上延伸超出线”的其它方式在本发明的预期范围内。举例来说,所述形状的末端在所述线后方或超出所述线的的第一距离小于根据设计规范预定的数字。
图4C是根据一些实施例的如图2C中所示具有大体上矩形端到端部分330的另一互连结构400C的俯视图,端到端部分330未大体上延伸超出邻近于端到端部分330与其重叠的对应金属线220和240的金属线170和190的末端。金属线170和190的末端展示为与对应线154和156重合。与参考图4B描述的互连结构400B相比,互连结构400C包含参考图2C描述的互连结构300而不是互连结构200。与具有两次弯曲形状的互连结构200的端到端部分230相比,互连结构300的端到端部分330具有大体上矩形形状。在参考图2C描述的一些实施例中,端到端部分330具有跨越金属线220的宽度W22和金属线240的宽度W24延伸的宽度W33。可获得宽度W33,因为端到端部分330沿着与Y方向相反的方向未大体上延伸超出线154且沿着Y方向未大体上延伸超出线156。在一些实施例中,与端到端部分230相比,端到端部分330未延伸如同端到端部分230大体上沿着与Y方向相反的方向和Y方向延伸那样远,以避免触碰金属线170和190。
图4D是根据一些实施例的如图2D中所示具有一次弯曲且大体上加宽的端到端部分380的另一互连结构400D的俯视图,端到端部分380未大体上延伸超出邻近于端到端部分380与其重叠的对应金属线220和240的金属线170和190的末端。金属线170和190的末端展示为与对应线154和156重合。与参考图4C描述的互连结构400C相比,互连结构400D包含参考图2D描述的互连结构350而不是参考图2C描述的互连结构300。与具有大体上矩形形状的互连结构300的端到端部分330相比,互连结构350的端到端部分380具有一次弯曲形状。在参考图2D描述的一些实施例中,端到端部分380在端到端部分380与末端部分222重叠处的宽度W52比末端部分222的宽度W22宽第一因数,且端到端部分380在端到端部分380与末端部分342重叠处的宽度W54跨越宽度W22和W24。类似于参考图4B描述的实施例,端到端部分380可在端到端部分380与末端部分222重叠处加宽,因为端到端部分380未延伸超出线154并且因此不邻近于例如通孔层via0等同一互连层中的其它通孔。类似于参考图4C描述的实施例,可获得宽度W54,因为端到端部分380沿着Y方向未大体上延伸超出线156。类似地,端到端部分380仅延伸如同端到端部分330大体上沿着Y方向延伸那样远,以避免触碰金属线190。
如图4D中所示的具有一次弯曲且大体上加宽的端到端部分380的互连结构400D是示范性的,所述端到端部分380未大体上延伸超出邻近于端到端部分380与其重叠的对应金属线220和240的金属线170和190的末端。实施未大体上延伸超出邻近于端到端部分与其重叠的金属线的金属线的末端的端到端部分的其它方式在本发明的预期范围内。举例来说,类似于图2D中所示的宽度W52的加宽的宽度可在端到端部分与金属线240的末端部分重叠处应用,且类似于图2D中所示的端到端部分380的宽度W54的跨越对应金属线220和240的宽度W22和W24的宽度可在端到端部分与金属线220的末端部分重叠处应用。
图5是根据一些实施例的具有形成于下方且耦合到如图1A中所示的端到端部分130的额外部分536的另一互连结构500的透视图。与图1A中所示的互连结构100的连接结构124相比,连接结构522进一步包含第一互连层中的额外部分536,所述第一互连层例如第二互连层下方的接触层,所述第二互连层例如端到端部分130驻留于其中的通孔层via0。此外,额外部分536与端到端部分130接触。互连结构500中标注有与参考图1A描述的互连结构100中的元件的那些参考标号相同参考标号的元件与互连结构100中的元件相同。在一些实施例中,额外部分536具有矩形形状,其具有跨越金属线120的宽度W12(在图2A中标注)和金属线140的宽度W14(在图2A中标注)的宽度。额外部分536用以减小连接结构522的电阻。
此部分中的每一互连结构包含金属线下方的连接结构且形成于金属层下方的通孔层集合中。举例来说,所述集合是金属层M1下方的通孔层via0。包含金属线下方的连接结构且形成于另一金属层下方的通孔层集合中的其它互连结构在本发明的预期范围内。举例来说,所述另一集合包含金属层M2下方的通孔层via1,以及金属层M3下方的通孔层via2等。此外,包含金属线上方且形成于另一金属层上方的通孔层集合中的连接结构的其它互连结构在本发明的预期范围内。举例来说,所述另一集合包含金属层M1上方的通孔层via1,和金属层M2上方的通孔层via2等。
用于形成具有使用下方层耦合的未对准金属线的互连结构的方法
图6是根据一些实施例的用于形成图1A中所示的互连结构100的布局600的图。图6说明用于使用单图案化形成图1A中所示的端到端部分130的布局600。在一些实施例中,布局600包含金属层M1和金属层M1下方的通孔层via0。金属层M1包含金属线620和金属线640。金属线620在长度中大体上沿着Y方向延伸且在末端部分622处结束。金属线640从末端部分642开始且在长度中大体上沿着Y方向延伸。金属线640在Y方向中与金属线620未对准。
如图6中所示的包含金属层M1和通孔层via0的布局600是示范性的。包含其它互连层的布局在本发明的预期范围内,例如包含金属层M2和通孔层via1的布局、包含金属层M3和通孔层via2的布局以及包含金属层M4和通孔层via3的布局等。
在一些实施例中,通孔层via0包含与末端部分622和末端部分642重叠的形状630。形状630是两次弯曲形状,其使用单图案化形成图2A中所示的端到端部分130。形状630与末端部分622和末端部分642两者重叠。已参考图2A描述所述两次弯曲形状。用于形成具有对应加宽的两次和一次弯曲形状和矩形形状的互连结构200和300的布局在本发明的预期范围内。
图7A到7E是根据一些实施例的说明用于使用图6中的布局600制造图1中的互连结构100的单图案化方法的横截面图。图7A到7E是对应于布局600中的线B-B'截取的横截面图。由于形状630使用单图案化形成图2A中的端到端部分130,因此对应于布局600中的线C-C'截取的横截面图与对应于布局600中的线B-B'截取的横截面图大体上对称对应。图7A到7E中标注有与互连结构100中的元件的那些参考标号相同参考标号的元件与互连结构100中的元件相同。
参见图7A,在一些实施例中,提供电介质层710以充当用于电介质层堆叠711的基底,其中将形成对应于图6中的形状630的通孔开口730。在一些实施例中,电介质层710形成于装置在其中和/或在其上形成的衬底(未图示)上方。在一些实施例中,电介质层710包含电介质层堆叠,例如堆叠于蚀刻停止层上方的ILD层,如相对于ILD层714和蚀刻停止层712将描述。
在一些实施例中,包含蚀刻停止层712和ILD层714的电介质层堆叠711形成于电介质层710上方。在一些实施例中,当ILD层714正结构化时蚀刻停止层712用以保护下伏结构。在一些实施例中,蚀刻停止层712包含碳化硅、氮化硅、氮氧化硅或其它合适的材料。在一些实施例中,ILD层714包含用于减少层内或层间寄生电容的一或多个低k电介质材料。示范性低k电介质材料包含氧化硅、硼磷硅酸盐玻璃(BPSG)、原硅酸四乙酯(TEOS)、旋涂玻璃(SOG)、未掺杂硅酸盐玻璃(USG)、有机硅酸盐玻璃(OSG)、氟化硅酸盐玻璃(FSG)、高密度等离子(HDP)氧化物、等离子增强TEOS(PETEOS)。在一些实施例中,使用例如化学气相沉积(CVD)、等离子增强化学气相沉积(PECVD)、高密度等离子化学气相沉积(HDP-CVD)和大气压化学气相沉积(APCVD)沉积蚀刻停止层712和ILD层714。
在一些实施例中,对应于图6中的形状630的通孔开口730形成于电介质层堆叠711中。在一些实施例中,通过首先采用光刻技术产生具有对应于图6中的形状630的开口的图案且随后将所述图案转印到电介质层堆叠711来形成通孔开口730。在图案的转印期间,通过使用例如反应性离子蚀刻(RIE)蚀刻ILD层714。在一些实施例中,使用选自由CF4、CHF3、CH2F2、C4F8、C5F8和C4F6组成的群组的工艺化学方法蚀刻ILD层714,且将其与选自由Ar、O2、N2、CO和He组成的群组的周围气体混合物组合。在一些实施例中,蚀刻停止层712在ILD层714经蚀刻之后暴露,且因此使用例如离子轰击而移除。在一些实施例中,轰击离子包含氩离子。
参见图7B,在一些实施例中,参考图3描述的扩散势垒层130A保形地沉积在图7A中的通孔开口730的侧壁和底部上。在一些实施例中,扩散势垒层130A包含钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)或这些材料的多个层。在一些实施例中,使用例如溅镀来沉积扩散势垒层130A。
在一些实施例中,沉积参考图3描述的导电层130B以填充通孔开口730的剩余空间。在一些实施例中,导电层130B包含铜。为了以例如铜填充通孔开口730,在扩散势垒层130A上方沉积铜晶种层。随后,执行铜电镀处理以填充通孔开口730。在一些实施例中,填充通孔开口730以使得铜超过电介质层堆叠711的顶部表面。
在一些实施例中,执行平坦化过程以移除超出电介质层堆叠711的顶部表面的铜。在一些实施例中,所述平坦化过程是化学机械抛光(CMP)过程。因此,单镶嵌互连结构102(图3中所示)的端到端部分130形成于通孔开口730中。
参见图7C,其中将形成对应于图6中的金属线620的沟槽720(图7D中所示)的电介质层堆叠715形成于电介质层堆叠711和端到端部分130上方。电介质层堆叠715包含蚀刻停止层716和金属间电介质(IMD)层718。蚀刻停止层716和IMD层718的示范性材料和沉积方法已经相对于图7A中的对应蚀刻停止层712和ILD层714描述。
参见图7D,对应于图6中的金属线620的沟槽720形成于电介质层堆叠715中。沟槽720暴露端到端部分130的顶部表面的一部分。在一些实施例中,通过首先采用光刻技术产生对应于图6中的金属线620的图案且随后将所述图案转印到电介质层堆叠715来形成沟槽720。用于将图案转印到电介质层堆叠715以形成沟槽720的示范性方法已经相对于图7A中的通孔开口730的形成而提供。
参见图7E,参考图3描述的扩散势垒层120A保形地沉积在图7D中的沟槽720的侧壁和底部上。沉积且平面化参考图3描述的导电层120B以填充沟槽720的剩余空间。扩散势垒层120A和导电层120B的示范性材料和形成方法已经相对于图7B中的对应扩散势垒层130A和导电层130B描述。因此,单镶嵌互连结构102的金属线120形成于沟槽720中。在一些实施例中,在沟槽720的底部的扩散势垒层120A与图7A中所示的通孔开口730中的导电层120B的顶部表面重叠且与其接触。
图8是根据一些实施例的用于形成图2B中所示的互连结构200的布局800的图。与图6中的布局600相比,布局800用以使用多图案化形成图2B中的端到端部分230。在一些实施例中,布局800包含金属层M1和金属层M1下方的通孔层via0。布局800的金属层M1包含金属线820和金属线840。与金属线620和640相比,金属线820和840进一步朝向彼此延伸,类似于参考图2B描述的金属线220和240。
如图8中所示的包含金属层M1和通孔层via0的布局800是示范性的。包含例如金属层M2和通孔层via1、金属层M3和通孔层via2、金属层M4和通孔层via3等其它互连层的布局800在本发明的预期范围内。
在一些实施例中,布局800的通孔层via0包含用于多图案化的图案化层P1和P2。图案化层P1包含与金属线820的末端部分822重叠的形状831。图案化层P2包含与金属线840的末端部分842重叠的形状833。
在一些实施例中,如图8中所示,形状831和833的联合830是两次弯曲形状。在一些实施例中,形状831不与末端部分842重叠,且形状833不与末端部分822重叠。在其它实施例中(未图示),形状831部分地与末端部分842重叠但在与Y方向相反的方向中未延伸到形状833开始处。形状833部分地与末端部分822重叠但在Y方向中未延伸到形状831开始处。
在其它实施例中(未图示),所述联合830是大体上矩形形状。在一些实施例中,形状831部分地与末端部分842重叠且在与Y方向相反的方向中延伸到形状833开始处。形状833部分地与末端部分822重叠且在Y方向中延伸到形状831开始处。
图9A到9C是根据一些实施例的说明用于使用图8中所示的布局800形成图2B中所示的端到端部分230的多图案化方法的横截面图。在对应图9A到9C的顶部部分展示的横截面图900和902是对应于布局800中的线D-D'而截取。在对应图9A到9C的底部部分展示的横截面图901、903和905是对应于布局800中的线E-E'而截取。与图7A中所示的具有大体上沿着图6中所示的对应线B-B'和C-C'的使用单图案化形成的部分的通孔开口730相比,图9C中所示的通孔开口930具有大体上沿着图8中所示的对应线D-D'和E-E'的使用多图案化形成的部分。
参见图9A,在一些实施例中,提供电介质层910以充当用于电介质层堆叠911的基底,其中将形成对应于图8中所示的形状831和833的联合830的将参考图9C描述的通孔开口930。电介质层堆叠911包含形成于电介质层910上方的蚀刻停止层912和ILD层914。用于形成电介质层910、蚀刻停止层912和ILD层914的示范性材料和方法已经针对图7A中所示的类似元件710、712和714提供。
在一些实施例中,将根据形状831和833图案化的硬掩模层916形成于电介质层堆叠911上方。通过采用光刻技术,将硬掩模层916图案化有如横截面图900中所示的对应于图8中所示的形状831的开口931。同时,硬掩模层916未经图案化有如横截面图901中所示的对应于图8中所示的形状833的开口933(图9B中所示)。选择硬掩模层916的一或多个材料以使得硬掩模层916中的图案可转印到下伏电介质层堆叠911而大体上不会侵蚀硬掩模层916中的图案。
参见图9B,在一些实施例中,通过采用光刻技术,硬掩模层916经图案化有如横截面图903中所示的对应于图8中所示的形状833的开口933。开口931与开口933重叠且构成具有对应于形状831和833的联合830的形状的单个开口。
参见图9C,将硬掩模层916(图9B中所示)中的图案转印到下伏电介质层堆叠911以形成对应于图8中所示的形状831和833的联合830的通孔开口930。用于将图案转印到电介质层堆叠911的示范性方法已经参考图7A提供。
用于形成图3中所示的单镶嵌互连结构102的后续操作类似于参考图7B到7E描述的那些操作。
此部分中的每一方法用于形成对应互连结构,其包含位于金属线下方且形成于金属层下方的通孔层集合中的连接结构。举例来说,所述集合是金属层M1下方的通孔层via0。用于形成包含位于金属线下方且形成于另一金属层下方的通孔层集合中的连接结构的其它互连结构的方法在本发明的预期范围内。举例来说,所述另一集合包含金属层M2下方的通孔层via1,以及金属层M3下方的通孔层via2等。此外,用于形成包含金属线上方且形成于另一金属层上方的通孔层集合中的连接结构的其它互连结构的方法在本发明的预期范围内。举例来说,所述另一集合包含金属层M1上方的通孔层via1,和金属层M2上方的通孔层via2等。
具有使用上方层耦合的未对准金属线的互连结构
如参考图1A所描述,如其它方法中在栅格上布设金属线的一部分,弯曲金属线且不在栅格上布设金属线的另一部分的策略无法采用,原因在于针对用于高级技术节点的单向布线规则以及一些金属层中的金属线维持固定间距环境的规则。补救此限制的一些实施例是通过连接结构连接第一互连层中的未对准金属线,所述连接结构包含第一互连层上方的第二互连层中的端到端部分。根据单向布线规则,第一互连层中的金属线在大体上正交于第二互连层中的金属线的方向的方向中延行。为了第二互连层中的端到端部分跨越第一互连层中的未对准金属线而连接,布设具有宽到足以与所述未对准金属线重叠的宽度的金属线,且施加所述未对准金属线的相对侧上的切割线以使第二互连层中的金属线成形为所述端到端部分。
图10A是根据一些实施例的具有使用上方互连层耦合的未对准金属线120和140(与图1A中所示的那些相同)的互连结构1000的透视图。与图1A中所示的互连结构100相比,互连结构1000包含金属层M2中的端到端部分1030,所述金属层M2在未对准金属线120和140驻留于其中的金属层M1上方。在一些实施例中,互连结构1000包含金属线120和140以及将金属线120耦合到金属线140的连接结构1024。互连结构1000中标注有与参考图1A描述的互连结构100中的元件的那些参考标号相同参考标号的元件与互连结构100中的元件相同。连接结构1024包含端到端部分1030以及通孔1025和1035。端到端部分1030形成于金属层M2中且与金属层M1中的金属线120和140重叠。通孔1025和1035形成于金属层M1与M2之间的通孔层via1中。通孔1025和1035在端到端部分1030与对应金属线120和140重叠处将端到端部分1030耦合到对应金属线120和140。
图10B是根据一些实施例的具有使用上方互连层耦合的对准金属线170和190(与图1B中所示的那些相同)的另一互连结构1050的透视图。与图1B中所示的互连结构150相比,互连结构1050包含金属层M2中的端到端部分1080,所述金属层M2在所述对准金属线170和190驻留于其中的金属层M1上方。在一些实施例中,互连结构1050包含金属线170和190以及将金属线170耦合到金属线190的连接结构1074。互连结构1050中标注有与参考图1B描述的互连结构150中的元件的那些参考标号相同的参考标号的元件与互连结构150中的元件相同。类似于参考图10A描述的连接结构1024,连接结构1074包含端到端部分1080以及通孔1075和1085。端到端部分1080形成于金属层M2中且与金属层M1中的金属线170和190重叠。通孔1075和1085形成于金属层M1与M2之间的通孔层via1中。通孔1075和1085在端到端部分1080与对应金属线170和190重叠处将端到端部分1080耦合到对应金属线170和190。
形成于金属层M1、通孔层via1和金属层M2中的互连结构1000或1050是示范性的。形成于其它互连层中的互连结构在本发明的预期范围内,例如形成于金属层M2、通孔层via2和金属层M3中的互连结构,形成于金属层M3、通孔层via3和金属层M4中的互连结构,以及形成于金属层M4、通孔层via4和金属层M5中的互连结构等。
图11A是根据一些实施例的具有大体上非加宽的通孔的图10A中所示的互连结构1000的俯视图。图11A说明端到端部分1030与金属线120的末端部分1022和金属线140的末端部分1042重叠,且通过对应通孔1025和1035耦合到末端部分1022和1042。端到端部分1030具有与金属线120的末端部分1022和金属线140的末端部分1042重叠的大体上矩形形状。所述矩形形状具有跨越末端部分1022的宽度W102和末端部分1042的宽度W104的宽度W103。在一些实施例中,宽度W102与宽度W104重叠。在其它实施例中,宽度W102未与宽度W104重叠。在一些实施例中,通孔1025和1035与对应末端部分1022和1042接触且完全立于对应末端部分1022和1042内。在一些实施例中,通孔1025的宽度W1025至多大体上等于末端部分1022的宽度W102。类似地,通孔1035的宽度W1035至多大体上等于末端部分1042的宽度W104
在一些实施例中,通孔1025和1035具有均匀宽度。因此,宽度W1025和宽度W1035是均匀宽度。在其它实施例中,通孔1025和1035具有例如用于锥形线末端的那些宽度的不均匀宽度。因此,宽度W1025和宽度W1035中的每一者是相应通孔1025和1035的最大宽度。通孔1025的宽度W1025经界定的方式可贯穿本发明相似地使用。
图11B是根据一些实施例的具有加宽的通孔的另一互连结构1100的俯视图。互连结构1100包含对应于参考图11A描述的对应金属线120、金属线140、端到端部分1030以及通孔1025和1035的金属线1120、金属线1140、端到端部分1030以及通孔1125和1135。金属线1120具有末端部分1122且金属线1140具有末端部分1142,端到端部分1140在以上两个末端部分处与对应金属线1120和1140重叠。末端部分1122和1142对应于参考图11A描述的对应末端部分1022和1042。互连结构1100中标注有与图11A中所示的互连结构1000中的元件的那些参考标号相同参考标号的元件与互连结构1000中的元件相同。与金属线120和140相比,金属线1120和1140进一步朝向彼此延伸。与通孔1025和1035相比,通孔1125和1135是加宽的且部分地立于对应末端部分1122和1142上。在一些实施例中,通孔1125的宽度W1125比末端部分1122的宽度W112宽等于大约30%的第二因数以减少通孔1125的电阻,和/或通孔1135的宽度W1135比末端部分1124的宽度W114宽所述第二因数以减少通孔1135的电阻。考虑由过程变化引入的宽度W1125和W112和/或宽度W1135和W114的变化,所述第二因数的下限高于大约10%以使得宽度W1125比宽度W112宽和/或宽度W1135比宽度W114宽。设定所述第二因数的上限以使得与via1层中的邻近结构维持最小间距。
图12是根据一些实施例的图11A中所示的沿着线F-F'截取的互连结构1000的横截面图。图12说明耦合到金属线120的镶嵌结构1002。镶嵌结构1002包含形成于金属线120驻留的金属层M1上方的金属层M2中的端到端部分1030,以及形成于金属层M1与M2之间的通孔层via1中以将端到端部分1030耦合到金属线120的通孔1025。在一些实施例中,镶嵌结构1002是双镶嵌结构。在其它实施例中,镶嵌结构1002是单镶嵌结构。
图13A是根据一些实施例的具有如图11A中所展示的-加宽的通孔1025和1035的互连结构1300A的俯视图,所述通孔耦合到未大体上延伸超出邻近于对应金属线120和140的金属线170和190的末端的对应末端部分1022和1042。金属线170和190的末端展示为与对应线154和156重合。互连结构1300A包含参考图11A描述的互连结构1000,以及参考图4A描述的邻近互连结构152。端到端部分1030延伸超出线154和156而与金属线170的侧面上的末端部分1022和金属线190的侧面上的末端部分1042重叠。通孔1025耦合于在金属线170旁边的末端部分1022与端到端部分1030之间,且通孔1025耦合于在金属线190旁边的末端部分1042与端到端部分1030之间。在参考图11A描述的一些实施例中,通孔1025和1035大体上不宽于对应末端部分1022和1042以避免干扰通孔层via1中的其它通孔。
图13B是根据一些实施例的具有如图11B中所示的加宽的通孔1125和1135的另一互连结构1300B的俯视图,所述通孔耦合到延伸超出邻近于对应金属线1120和1140的金属线170和190的末端且端到端部分1130与其重叠的对应末端部分1122和1142。与参考图13A描述的互连结构1300A相比,互连结构1300B包含参考图11B描述的互连结构1100而不是互连结构1000。与互连结构1000的金属线120和140相比,金属线1120平行于金属线170延行且超出金属线170结束处的线154而结束,且金属线1140在金属线190开始处的线156后方开始且平行于金属线190延行。在一些实施例中,端到端部分1130与跨越线154的末端部分1122和跨越线156的末端部分1142重叠。与互连结构1000的通孔1025和1035相比,通孔1125不位于金属线170相对于线154驻留的侧面上,且通孔1135不位于金属线190相对于线156驻留的侧面上。以此方式,通孔1125和1135不邻近于通孔层via1中的其它通孔。因此,在参考图11B描述的一些实施例中,通孔1125和1135比对应末端部分1122和1142宽。
此部分中的每一互连结构包含金属线上方的连接结构且形成于通孔层和第一金属层上方的第二金属层的集合中。举例来说,所述集合是通孔层via1和金属层M1上方的金属层M2。包含金属线上方的连接结构且形成于通孔层和第一金属层上方的第二金属层的另一集合中的其它互连结构在本发明的预期范围内。举例来说,所述另一集合包含通孔层via2和金属层M2上方的金属层M3,以及通孔层via3和金属层M3上方的金属层M4等。此外,包含金属线下方的连接结构且形成于通孔层和第一金属层下方的第二金属层的另一集合中的其它互连结构在本发明的预期范围内。举例来说,所述另一集合包含通孔层via1和金属层M2下方的金属层M1,以及通孔层via2和金属层M3下方的金属层M2等。
用于形成具有使用上方层耦合的未对准金属线的互连结构的方法
图14是根据一些实施例的用于形成图10A中所示的互连结构1000的布局1400的图。图14说明用于通过在大体上正交于未对准金属线620和640延行且与未对准金属线620和640重叠的金属线1426上应用切割线1427和1428而形成图10A中所示的端到端部分1030的布局1400。布局1400包含金属层M1、金属层M1上方的金属层M2、插入于金属层M1与金属层M2之间的通孔层via1,以及施加于金属层M2上的切割层。金属层M1包含金属线620和金属线640。金属线620在长度中大体上沿着Y方向延伸且在末端部分1422结束。金属线640从末端部分1442开始且在长度中大体上沿着Y方向延伸。金属线640在Y方向中与金属线620未对准。
包含金属层M1、金属层M1上方的金属层M2、插入于金属层M1与金属层M2之间的通孔层via1以及施加于金属层M2上的切割层的布局1400是示范性的。包含其它互连层的布局在本发明的预期范围内,例如包含金属层M2、金属层M2上方的金属层M3、插入于金属层M2与金属层M3之间的通孔层via2以及施加于金属层M2上的切割层的布局,包含金属层M3、金属层M3上方的金属层M4、插入于金属层M3与金属层M4之间的通孔层via3以及施加于金属层M3上的切割层,包含金属层M3、金属层M3上方的金属层M4、插入于金属层M3与金属层M4之间的通孔层via3以及施加于金属层M3上的切割层的布局等。
在一些实施例中,金属层M2包含金属线1426,其在长度中大体上沿着X方向延伸且具有宽度W1426以使得金属线1426与末端部分1422和末端部分1442重叠。X方向大体上正交于Y方向。所述切割层包含切割线1427和1428,所述切割线跨越金属线1426的宽度W1426延行且经配置于末端部分1427和1428的相对侧上。切割线1427与1428之间的金属线1426的部分1430对应于图10A中所示的端到端部分1030。
在一些实施例中,通孔层via1包含将金属层M1中的末端部分1422耦合到金属层M2中的金属线1426的通孔1425,以及将金属层M1中的末端部分1442耦合到金属层M2中的金属线1426的通孔1435。
包含金属层M1、通孔层via1、金属层M2以及切割层1427和1428的布局1400是示范性的。形成于其它互连层中的布局在本发明的预期范围内。
图15A到15D是根据一些实施例的说明用于使用图14中所示的布局1400制造图10A中所示的互连结构1000的方法的横截面图。图15A到15D是对应于布局1400中的线G-G'截取的横截面图。图15A到15D说明使用通孔优先双镶嵌工艺以及图14中所示的切割线1427和1428形成图10A中所示的端到端部分1030和通孔1035。如图15C中标注,对于通孔优先双镶嵌工艺,双镶嵌开口1504的形成包含首先蚀刻通孔开口1535B且随后蚀刻沟槽1530。切割线1427和1428对应于用于产生图14中所示的金属线1426的开口1526(图15A中所示)中的成块结构1527和1528(图15B中所示)。图15A到15D中标注有与互连结构1000中的元件的那些参考标号相同参考标号的元件与互连结构1000中的元件相同。
参见图15A,在一些实施例中,提供电介质层1510。金属线140形成于电介质层1510中。金属线140根据图14中所示的布局1400中的金属线640形成。电介质层1512形成于电介质层1510上方。电介质层1514形成于电介质层1512上方。在一些实施例中,电介质层1510、1512和1514中的每一者是类似于参考图7A描述的电介质层堆叠711的电介质层堆叠。开口1535A形成于电介质层1512和1514中以暴露金属线140的一部分。开口1535A对应于布局1400中的通孔1435而形成。硬掩模层1516形成于电介质层1514上方且经图案化有连接到开口1535A的开口1526。开口1526对应于布局1400中的金属线1426。在一些实施例中,填充开口1535A的一部分的保护涂层(未图示)在硬掩模层1516的沉积和图案化之前形成以保护开口1535A的底部处的暴露结构。用于电介质层1510、1512和1514中的每一者的示范性材料和形成方法已经参考图7A提供。用于金属线140的示范性材料和形成方法已经参考图7D和7E提供。用于开口1535A的示范性形成方法已经参考图7A提供。用于图案化硬掩模层1516以产生开口1526的示范性形成方法已经参考图9A提供。
参见图15B,在一些实施例中,硬掩模层1518形成于硬掩模层1516上方和图15A中所示的开口1526中,且经图案化以使得成块结构1527和1528形成于开口1526中。成块结构1527和1528对应于布局1400中的对应切割线1427和1428。用于图案化硬掩模层1518以形成块结构1527和1528的示范性形成方法已经参考图9A提供。
参见图15C,在一些实施例中,由图15B中所示的硬掩模层1516和1518共同地形成的图案转印到下伏电介质层1514且进而形成包含电介质层1512中的通孔开口1535B上方的电介质层1514中的沟槽1530的双镶嵌开口1504。沟槽1530对应于布局1400中的金属线1426的部分1430。通孔开口1535B对应于布局1400中的通孔1435。用于将图案转印到电介质层1514的示范性方法已经参考图7A提供。
参见图15D,在一些实施例中,扩散势垒层1030A保形地沉积在图15C中所示的双镶嵌开口1504的侧壁和底部上。沉积导电层1030B以填充双镶嵌开口1504的剩余空间。扩散势垒层1030A和导电层1030B的示范性材料和形成方法已经参考图7B描述。所得结构是包含端到端部分1030和通孔1035的双镶嵌互连结构1004。通孔1035将下伏金属线140耦合到上覆端到端部分1030。
端到端部分1030和通孔1035是使用通孔优先双镶嵌工艺形成的。使用另一双镶嵌工艺或单镶嵌工艺形成的端到端部分1030和通孔1035在本发明的预期范围内。
此部分中的每一方法用于形成对应互连结构,其包含形成于通孔层和第一金属层上方的第二金属层的集合中的金属线上方的连接结构。举例来说,所述集合是通孔层via1和金属层M1上方的金属层M2。用于形成包含金属线上方的连接结构且形成于通孔层和第一金属层上方的第二金属层的另一集合中的其它互连结构的方法在本发明的预期范围内。举例来说,所述另一集合包含通孔层via2和金属层M2上方的金属层M3,以及通孔层via3和金属层M3上方的金属层M4等。此外,用于形成包含金属线下方的连接结构且形成于通孔层和第一金属层下方的第二金属层的另一集合中的其它互连结构的方法在本发明的预期范围内。举例来说,所述另一集合包含通孔层via1和金属层M2下方的金属层M1,以及通孔层via2和金属层M3下方的金属层M2等。
具有使用下方/上方层耦合的未对准金属线以及使用上方/下方层耦合的邻近金属线的互 连结构
图16是根据一些实施例的包含图1A中所示的互连结构100以及邻近于所述互连结构100形成的图10B中所示的互连结构1050的互连结构1600的透视图。金属线170和190形成于与金属线120和140相同的互连层中。金属线170邻近于金属线120形成且金属线190邻近于金属线140形成。金属线120和140使用在金属线120和140的层下方的互连层中的端到端部分130耦合在一起。为了避免干扰端到端部分130,金属线170和190使用在金属线170和190的层上方的互连件层中的端到端部分1080以及插入于对应金属线170和190与端到端部分1080之间的通孔1075和1085耦合到彼此。
图17是根据一些实施例的包含图10A中所示的互连结构1000以及邻近于所述互连结构1000形成的图1B中所示的互连结构150的互连结构1700的透视图。金属线170和190形成于与金属线120和140相同的互连层中。金属线170邻近于金属线120形成且金属线190邻近于金属线140形成。为了避免干扰使用金属线120和140的层上方的互连层将金属线120和140耦合在一起的端到端部分1030以及通孔1025和1035,金属线170和190使用在金属线170和190的层下方的互连层中的端到端部分180耦合到彼此。
单端口SRAM宏
SRAM宏包含用于包含不在栅格上布线的金属线的阵列单元阵列的一部分及用于包含在栅格上布线的金属线的输入及输出(IO)电路的一部分,以节省SRAM宏的区域。归因于光刻工具的限制,考虑到图案之间的距离或不同形状的图案的组合而对布局执行光学邻近校正(OPC)。为了限定由OPC校正的不同图案形状的图案的组合及间距的数目,所述图案布设于栅格上。对于布设于栅格上的每一图案,基于为栅格线的相交点的网格点来布置所述图案。结果,布设于栅格上的示范性图案具有沿着栅格线之间的中线的图案边界。对于SRAM宏,IC电路的部分布设于栅格上以节省OPC的执行时间。另一方面,阵列单元阵列的部分不布设于栅格上以节省区域。对于不布设于栅格上的每一图案,不基于网格点布置所述图案,但遵循与布设于栅格上的每一图案对应的配线规则。结果,不布设于栅格上的示范性图案具有偏离栅格线之间的中线的图案边界。参考图1到17所描述的实施例适用于SRAM宏,且在下文中加以描述。
图18是根据一些实施例的半导体芯片中的SRAM宏1800的框图。参考对应图1A、2A到5及10A、11A到13B所描述的连接结构124和1024中的任一者适用于形成图18中所示的阵列单元SC81、…到SC84与IO电路1804之间的连接。
SRAM宏1800包含大量阵列单元SC11、SC12、…和SC84、字线解码电路1802及IO电路1804。存取电路的类型包含IO电路1804和字线解码电路1802。字线解码电路1802驱动沿着阵列单元SC11到SC14、SC21到SC24,…和SC81到SC84的对应行延行的多个字线WL1、WL2、…和WL8。IO电路1804驱动或接收沿着阵列单元SC11到SC81、SC12到SC82,…和SC14到SC84的对应列延行的多对位线和互补位线BL1与BLB1、BL2与BLB2、…及BL4与BLB4。存取线的类型包含例如所述多个字线WL1、WL2、…和WL8等字线以及所述对位线或互补位线,例如所述多对位线与互补位线BL1与BLB1、BL2与BLB2、…及BL4与BLB4。字线解码电路1802经配置以接收行地址、解码所述行地址且断言例如所述字线WL1、WL2、…和WL8中的对应一者,使得选择阵列单元的对应行SC11到SC14、SC21到SC24、…或SC81到SC84用于存取。对于读取操作,IO电路1804经配置以预充电且等化所述多对位线与互补位线BL1与BLB1、BL2与BLB2、…和BL4与BLB4,基于经由所述多对位线与互补位线BL1与BLB1、BL2与BLB2、…和BL4与BLB4接收的差分电压感测数据,且选择对应于经解码列地址的列中的感测数据并输出所述数据。对于写入操作,IO电路1804经配置以选择对应于经解码列地址的列用于输入数据且根据所述输入数据驱动所选对位线与互补位线BL1与BLB1、BL2或BLB2、…或BL4与BLB4,使得所述输入数据存储于阵列单元SC11、SC12…或SC84中。包含字线解码电路1802及IO电路1804的电路可称为外围电路。
为简单起见,SRAM宏1800示范性地展示为单组存储器。在一些实施例中,单组存储器包含阵列单元阵列和在平坦寻址方案下存取所述阵列单元阵列的存取电路。在平坦寻址方案下,阵列单元阵列中的每一阵列单元具有行地址和列地址。在一些实施例中,多组存储器包含多个阵列单元阵列以及在阶层式寻址方案下存取所述多个阵列单元阵列的全局和局部存取电路。在阶层式寻址方案下,多个阵列单元阵列中的每一阵列单元具有组地址、行地址和列地址。具有类似于SRAM宏1800的存储器组和其局部存取电路的多组存储器在本发明的涵盖范围内。作为一实例用于说明,SRAM宏1800具有8个行和4个列。其它数目的行和/或列在本发明的涵盖范畴内。
图19是根据一些实施例的图18中所示的电路1806的电路图。图19说明电路1806中的元件和所述元件之间的连接。在一些实施例中,电路1806包含阵列单元SC81和IO电路1804的对应部分(标注于图18中)。其它阵列单元SC82、S83…和S84以及IO电路1804的对应部分类似于电路1806。阵列单元SC81包含交叉耦合反相器对1808以及导通门1810和1812。反相器对1808经配置以将数据保留于存储节点SN和互补存储节点SNB处。导通门1810经配置以回应于字线WL8处的信号而将位线BL1耦合到存储节点SN。导通门1812经配置以回应于字线WL8处的信号而将互补位线BLB1耦合到互补存储节点SNB。
在一些实施例中,反相器对1808中的第一反相器包含P型场效应晶体管(P-FET)PU1和N-FETPD1。P-FETPU1的源极耦合到较高电力供应节点CVdd。N-FETPD1的源极耦合到较低电力供应节点CVss。P-FETPU1和N-FETPD1的漏极一起耦合到存储节点SN。P-FETPU1和N-FETPD1的栅极一起耦合到互补存储节点SNB。反相器对中的第二反相器包含P-FETPU2和N-FETPD2。P-FETPU2的源极耦合到较高电力供应节点CVdd。N-FETPD2的源极耦合到较低电力供应节点CVss。P-FETPU2和N-FETPD2的漏极一起耦合到互补存储节点SNB。P-FETPU2和N-FETPD2的栅极一起耦合到存储节点SN。
导通门1810包含N-FETPG1,其栅极耦合到字线WL8,第一源极或漏极耦合到存储节点SN且第二源极或漏极耦合到位线BL1。导通门1812包含N-FETPG2,其栅极耦合到字线WL8,第一源极或漏极耦合到互补存储节点SNB且第二源极或漏极耦合到互补位线BLB1
在一些实施例中,IO电路1804的对应于阵列单元SC81的部分包含用于预充电和等化操作的P-FETQ1、P-FETQ2和P-FETQ3,用于读取操作的P-FETQ4和P-FETQ6,以及用于写入操作的N-FETQ5和N-FETQ7。为简单起见,未展示用于从用于读取操作的位线与互补位线对BL1与BLB1感测数据的电路及驱动用于写入操作的位线与互补位线对BL1与BLB1的电路。
在一些实施例中,P-FETQ1的第一源极或漏极以及第二源极或漏极耦合到对应位线BL1和互补位线BLB1,且P-FETQ1的栅极耦合到控制线BLEQB。P-FETQ2和Q3的源极一起耦合到预充电电压VPC,P-FETQ2和Q3的漏极耦合到对应位线BL1和互补位线BLB1,且P-FETQ2和Q3的栅极一起耦合到控制线BLEQB。在读取操作之前的等化和预充电操作期间,控制线BLEQB处的信号接通P-FETQ1、Q2和Q3。在P-FETQ2和Q3将位线BL1和互补位线BLB1预充电到预充电电压VPC时,P-FETQ1通过等化位线BL1与互补位线BLB1上的初始电压而帮助加速此过程。
在一些实施例中,在读取操作期间,P-FETQ4和Q6回应于控制线处的信号YB_READ而将对应位线BL1和互补位线BLB1耦合到对应输出数据线DL和互补输出数据线DLB。在一些实施例中,控制线处的信号YB_READ是根据经解码列地址而产生。在一些实施例中,在写入操作期间,N-FETQ5和Q7回应于控制线处的信号Y_WRITE而将对应输入数据线WT和互补输入数据线WC耦合到对应位线BL1和互补位线BLB1。在一些实施例中,控制线处的信号Y_WRITE是根据经解码列地址而产生。
位线(例如,BL1)也称为数据线,且互补位线(例如,BLB1)也称为互补数据线,因为位线BL1和互补位线BLB1携载用于阵列单元(例如,SC81)的数据。
下文展示图19中所示的电路1806的各种实施例的布局和横截面图。在IC制造过程中,前段工艺(FEOL)形成例如晶体管等裝置且因此覆盖在层via0之前的装置层和接触层,且后段工艺(BEOL)形成用于连接裝置的互连层的堆叠且因此经由接触层覆盖堆叠金属层和插入于每对堆叠金属层之间的相应导孔层。作为一实例,电路1806的在图23中展示的横截面2202包含在IC制造过程的对应FEOL和BEOL期间形成的FEOL部分2204和BEOL部分2206。图20中所示的布局2000包含在FEOL部分2204中的阵列单元SC81的层,图21中所示的布局2002包含在BEOL部分2206中的阵列单元SC81的层和在横截面2202中未展示的阵列单元SC81的金属层M3。另外,图22A中所示的布局2200A包含BEOL部分2206中直到阵列单元SC81和IO电路1804的金属层M1的层。布局2000进一步包含在BEOL部分2206中的通孔层via0,用于展示FEOL部分2204到BEOL部分2206的结构连接。类似地,图20中所示的布局2000、图21中所示的布局2002和图22B中所示的布局2200B到图22D中所示的布局2200D以及图24中所示的布局2400中的每一者中的层可映射到图23中所示的FEOL部分2204和BEOL部分2206中的那些层。图20中所示的布局2000、图21中所示的布局2002和图25中所示的布局2500中的层可映射到图26中所示的FEOL部分2504和BEOL部分2506中的那些层。图27中所示的布局2700、图28中所示的布局2702、图29中所示的布局中的层可映射到图30中所示的FEOL部分2904和BEOL部分2906中的那些层。图27中所示的布局2700、图28中所示的布局2702和图31中所示的布局3100中的层可映射到图33中所示的FEOL部分3104和BEOL部分3106中的那些层。
图20是根据一些实施例的包含图23中所示的FEOL部分2204中的阵列单元SC81(指示于图19中)的层以及BEOL部分2206中的阵列单元SC81的通孔层via0的布局2000的图。图20说明由单鳍片式FinFET实施的阵列单元SC81。在一些实施例中,FEOL部分为在其处图案化例如晶体管等裝置的IC制造部分且包含例如在层via0之前的装置层和接触层。形成层via0被视作IC制造的后端工艺(BEOL)部分的开始。BEOL部分包含在FEOL部分上的互连层的堆叠。互连层的堆叠是由堆叠金属层和插入于每对堆叠金属层之间的相应通孔层形成。层via0是FEOL接触层与BEOL金属层M1之间的通孔层。为促进以下描述,布局中的形状由半导体芯片中的对应结构元件的名称来指代。
布局图2000包含在长度上大体上沿着Y方向延伸的多个鳍片结构2014、2016、2018和2020,在长度上大体上沿着X方向延伸的多个栅极结构2022、2024、2026和2028,在长度上沿着X方向延伸的多个源极或漏极触点2030、2034、2038、2042、2050、2054、2058和2062,在长度上沿着Y方向延伸的多个栅极触点2036、2046、2056和2066,以及在层via0中的多个通孔2032、2040、2044、2048、2052、2060、2064和2068。在一些实施例中,X方向是沿着阵列单元的行,例如图18中所示的阵列单元SC11、SC12…和SC14的行,且Y方向是沿着阵列单元的列,例如阵列单元SC11、SC21…和SC81的列。
图19中所示的FETPU1、PD1、PG1、PU2、PD2和PG2布置于布局图2000中的三个部分中。中间部分专用于P-FETPU1和PU2,左侧部分对应于N-FETPD1和PG1,且右侧部分属于N-FETPD2和PG2。对于P-FETPU1,栅极包含包围鳍片结构2014中的通道区域的栅极结构2022,且源极和漏极包含在鳍片结构2014中在栅极结构2022的相对侧上的对应源极区和漏极区。对于N-FETPD1,栅极包含包围鳍片结构2016中的第一通道区域的栅极结构2022,且源极和漏极包含在鳍片结构2016中的在栅极结构2022的相对侧上的对应源极区和漏极区。由于栅极结构2022穿越鳍片结构2014和鳍片结构2016两者,因此P-FETPU1与N-FETPD1的栅极耦合在一起。对于N-FETPG1,栅极包含包围鳍片结构2016中的第二通道区域的栅极结构2024,且第一源极或漏极以及第二源极或漏极包含在鳍片结构2016中的在栅极结构2024的相对侧上的对应第一源极或漏极区以及第二源极或漏极区。用于N-FETPD1的漏极区与用于N-FETPG1的第一源极或漏极区在鳍片结构2016中共享。由于用于N-FETPD1的漏极区与用于N-FETPG1的第一源极或漏极区在鳍片结构2016中共享,因此N-FETPD1的漏极耦合到N-FETPG1的第一源极或漏极。
对于P-FETPU2,栅极包含包围鳍片结构2018中的通道区域的栅极结构2026,且源极和漏极包含在鳍片结构2018中在栅极结构2026的相对侧上的对应源极区和漏极区。对于N-FETPD2,栅极包含包围鳍片结构2020中的第一通道区域的栅极结构2026,且源极和漏极包含在鳍片结构2020中在栅极结构2026的相对侧上的对应源极区和漏极区。由于栅极结构2026穿越鳍片结构2018和鳍片结构2020两者,因此P-FETPU2与N-FETPD2的栅极耦合在一起。对于N-FETPG2,栅极包含包围鳍片结构2020中的第二通道区域的栅极结构2028,且第一源极或漏极以及第二源极或漏极包含在鳍片结构2020中在栅极结构2028的相对侧上的对应第一源极或漏极区以及第二源极或漏极区。用于N-FETPD2的漏极区与用于N-FETPG2的第一源极或漏极区在鳍片结构2020中共享。由于用于N-FETPD2的漏极区与用于N-FETPG2的第一源极或漏极区在鳍片结构2020中共享,因此N-FETPD2的漏极耦合到N-FETPG2的第一源极或漏极。
P-FETPU1的源极耦合到图19中所示的较高电力供应节点CVdd。较高电力供应节点CVdd耦合到通孔2032,所述通孔又耦合到触点2030。触点2030与用于鳍片结构2014中的P-FETPU1的源极区接触。通孔2032将触点2030耦合到待参考图21描述的BEOL部分中的金属线2070。N-FETPD1的源极耦合到图19中所示的较低电力供应节点CVss。较低电力供应节点CVss耦合到通孔2040,所述通孔又耦合到触点2038。触点2038与用于鳍片结构2016中的N-FETPD1的源极区接触。通孔2040将触点2038耦合到待参考图21描述的BEOL部分中的着陆衬垫2074。P-FETPU1的漏极、N-FETPD1的漏极、N-FETPG1的第一源极或漏极以及P-FETPU2和N-FETPD2的栅极耦合到图19中所示的存储节点SN。存储节点SN包含触点2034和耦合到触点2034的触点2036。触点2034与用于鳍片结构2014中的P-FETPU1的漏极区和与用于鳍片结构2016中的共享的用于N-FETPG1的第一源极或漏极区及用于N-FETPD1的漏极区接触。触点2036与用于P-FETPU2和N-FETPD2的栅极结构2026接触。N-FETPG1的第二源极或漏极耦合到图19中所示的位线BL1。位线BL1耦合到通孔2044,所述通孔又耦合到触点2042。触点2042与用于鳍片结构2016中的N-FETPG1的第二源极或漏极区接触。通孔2044将触点2042耦合到待参考图21描述的BEOL部分中的金属线620A。N-FETPG1的栅极耦合到字线图19中所示的WL8。字线WL8耦合到通孔2048,所述通孔又耦合到触点2046。触点2046与用于N-FETPG1的栅极结构2024接触。通孔2048将触点2046耦合到待参考图21描述的BEOL部分中的着陆衬垫2072。
P-FETPU2的源极耦合到图19中所示的较高电力供应节点CVdd。较高电力供应节点CVdd进一步耦合到通孔2052,所述通孔又耦合到触点2050。触点2050与用于鳍片结构2018中的P-FETPU2的源极区接触。通孔2052将触点2050耦合到待参考图21描述的BEOL部分中的金属线2070。N-FETPD2的漏极耦合到图19中所示的较低电力供应节点CVss。较低电力供应节点CVss进一步耦合到通孔2060,所述通孔又耦合到触点2058。触点2058与用于鳍片结构2020中的N-FETPD2的第二源极或漏极区接触。通孔2060将触点2058耦合到待参考图21描述的BEOL部分中的着陆衬垫2076。P-FETPU2的漏极、N-FETPD2的漏极、N-FETPG2的第一源极或漏极以及P-FETPU1和N-FETPD1的栅极耦合到图19中所示的互补存储节点SNB。互补存储节点SNB包含触点2054和耦合到触点2054的触点2056。触点2054与用于鳍片结构2018中的P-FETPU2的漏极区和与用于鳍片结构2020中的共享的N-FETPG2的第一源极或漏极区与用于N-FETPD2的漏极区接触。触点2056与用于P-FETPU1和N-FETPD1的栅极结构2022接触。N-FETPG2的第二源极或漏极耦合到图19中所示的互补位线BLB1。互补位线BLB1耦合到通孔2064,所述通孔又耦合到触点2062。触点2062与用于鳍片结构2020中的N-FETPG2的第二源极或漏极区接触。通孔2064将触点2062耦合到待参考图21描述的BEOL部分中的金属线620B。N-FETPG2的栅极耦合到图19中所示的字线WL8。字线WL8进一步耦合到通孔2068,所述通孔又耦合到触点2066。触点2066与用于N-FETPG2的栅极结构2028接触。通孔2068将触点2066耦合到待参考图21描述的BEOL部分中的着陆衬垫2078。
图21是根据一些实施例的包含图23中所示的BEOL部分2206中的阵列单元SC81(指示于图19中)的层和图23中所示的横截面2202中不可见的阵列单元SC81的金属层M3的布局2002的图。在IC制造中,BEOL部分包含在FEOL部分上的互连层的堆叠。图21说明在金属层M1到M3中的金属线和着陆衬垫以及插入于各层之间的通孔。在图21中,用于位线BL1的金属线620A与用于位线BLB1的金属线620B沿着Y方向平行地延行,且位于用于较高电力供应节点CVdd的金属线2070的相对侧上。
布局图2002包含在金属层M1中的多个金属线620A、2070和620B以及多个着陆衬垫2072、2074、2076和2078,在金属层M2中的多个金属线2092、2094和2096,在金属层M3中的金属线2102,在层via0中的多个通孔2032、2040、2044、2048、2052、2060(未标注)、2064和2068,在via1层中的多个通孔2082、2084、2086(未标注)和2088,以及在via2层中的多个通孔2098(未标注)和2100。通孔2060、2086和2098在布局图2002中重叠。金属层M1中的金属线620A、2070和620B在长度上大体上沿着Y方向延伸。金属层M2中的金属线2092、2094和2096在长度上大体上沿着X方向延伸,且金属层M3中的金属线2102在长度上大体上沿着Y方向延伸。
较高电力供应节点CVdd包含金属线2070。金属线2070跨越阵列单元SC11、SC21、…和SC81的列延伸且耦合到通孔2032和2052。位线BL1包含金属线620A。金属线620A跨越阵列单元SC11、SC21、…和SC81的列延伸且耦合到通孔2044。互补位线BLB1包含金属线620B。金属线620B跨越阵列单元SC11、SC21、…和SC81的列延伸且耦合到通孔2064。用于位线BL1的金属线620A和用于互补位线BLB1的金属线620B位于用于较高电力供应节点CVdd的金属线2070的相对侧上。
字线WL包含着陆衬垫2072、通孔2082、着陆衬垫2078、通孔2088和金属线2096。金属线2096跨越阵列单元SC81、SC82、…和SC84的行延伸。金属线2096经由通孔2082以及着陆衬垫2072耦合到通孔2048,且经由通孔2088以及着陆衬垫2078耦合到通孔2068。较低电力供应节点CVss包含着陆衬垫2076、通孔2086、金属线2092、着陆衬垫2074、通孔2084、金属线2094、通孔2098、通孔2100和金属线2102。金属线2092跨越阵列单元SC81、SC82、…和SC84的行延伸且经由通孔2086以及着陆衬垫2076耦合到通孔2060。金属线2094跨越阵列单元SC81、SC82、…和SC84的行延伸且经由通孔2084以及着陆衬垫2074耦合到通孔2040。金属线2102跨越阵列单元SC11、SC12、…和SC18的列延伸。金属线2102经由通孔2098耦合到金属线2092。金属线2102经由通孔2100耦合到金属线2094。用于较低电力供应节点CVss的金属线2092和2094位于用于字线WL8的金属线2096的相对侧上。
图22A是根据一些实施例的包含直到图23中所示的BEOL部分2206中的金属层M1的电路1806(指示于图19中)的层的布局2200A的图。图22A说明使用通孔层via0中的端到端部分630A耦合的在金属层M1中的用于位线BL1的未对准金属线620A和640A,以及使用通孔层via0中的端到端部分630B耦合的在金属层M1中用于互补位线BLB1的未对准金属线620B和640B。在一些实施例中,端到端部分630A包括于将金属线620A耦合到金属线640A的连接结构624A中,且端到端部分630B包括于将金属线620B耦合到金属线640B的连接结构624B中。在一些实施例中,位线BL1的金属线620A和640A以及互补位线BLB1的金属线620B和640B实施于金属层M1或互连层当中的较薄最低层级金属中,用于减小位线BL1和互补位线BLB1上的电容。
在一些实施例中,布局2200A包含用于参考图21描述的阵列单元SC81的部分以及用于IO电路1804的部分。除了阵列单元SC81的BEOL部分之外,位线BL1进一步包含在IO电路1804的对应BEOL部分中的金属线640A以及跨越阵列单元SC81的BEOL部分和IO电路1804的BEOL部分的端到端部分630A。金属线640A处于金属层M1中,且在长度上大体上沿着Y方向延伸。金属线640A大体上沿着X方向相对于金属线620A移位,且因此在Y方向上与金属线620A未对准。端到端部分630A形成于通孔层via0中,且与金属线620A和金属线640A重叠。由金属线620A和640A、端到端部分630A以及金属线2070和2090形成的结构元件类似于参考图2A和4A描述的对应金属线120和140、端到端部分130以及金属线170和190。通孔层via0充当用于阵列单元SC81和IO电路1804的局部互连层。
除了阵列单元SC81的BEOL部分之外,互补位线BLB1进一步包含在IO电路1804的对应BEOL部分中的金属线640B以及跨越阵列单元SC81的BEOL部分和IO电路1804的BEOL部分的端到端部分630B。金属线640B处于金属层M1中,且在长度上大体上沿着Y方向延伸。金属线640B大体上沿着与X方向相反的方向相对于金属线640B移位,且因此在Y方向上与金属线620B未对准。端到端部分630B形成于通孔层vi0中,且与金属线620B和金属线640B重叠。由金属线620B和640B、端到端部分630B以及金属线2070和2090形成的结构元件类似于参考图2A和4A描述的对应金属线120和140、端到端部分130以及金属线170和190,只是在X方向上反向。
图22B是根据一些实施例的包含直到图23中所示的BEOL部分2206中的电路1806(展示于图19中)的金属层M1的层的另一布局2200B的图。与图22A中所示的布局2200A相比,金属线2220A和2220B在Y方向上延伸超出金属线2070,且金属线2240A和2240B在Y方向上在金属线2090后方开始。此外,端到端部分2230A在端到端部分2230A与金属线2220A和2240A重叠处比图22A中所示的端到端部分630A宽。端到端部分2230B在端到端部分2230B与金属线2220B和2240B重叠处比图22A中所示的端到端部分630B宽。由金属线2220A和2240A、端到端部分2230A以及金属线2070和2090形成的结构元件类似于参考图2B和4B描述的对应金属线220和240、端到端部分230以及金属线170和190。由金属线2220B和2240B、端到端部分2230B以及金属线2070和2090形成的结构元件类似于参考图2B和4B描述的对应金属线220和240、端到端部分230以及金属线170和190,只是在X方向上反向。
图22C是根据一些实施例的包含直到图23中所示的BEOL部分2206中的电路1806(展示于图19中)的金属层M1的层的另一布局2200C的图。与图22B中所示的布局2200B相比,端到端部分2330A和2330B具有大体上矩形形状而非两次弯曲形状。由金属线2220A和2240A、端到端部分2330A以及金属线2070和2090形成的结构元件类似于参考图2C和4C描述的对应金属线220和240、端到端部分330以及金属线170和190。由金属线2220B和2240B、端到端部分2330B以及金属线2070和2090形成的结构元件类似于参考图2C和4C描述的对应金属线220和240、端到端部分330以及金属线170和190,只是在X方向上反向。
图22D是根据一些实施例的包含直到图23中所示的BEOL部分2206中的电路1806(展示于图19中)的金属层M1的层的另一布局2200D的图。与图22B中所示的用于单图案化的布局2200B中的端到端部分230A和230B相比,端到端部分830A为用于多图案化的形状831A和833A的联合,且端到端部分830B为用于多图案化的形状831B和833B的联合。金属线820A和840A、端到端部分830A类似于参考图8描述的对应金属线820和840、联合830。金属线820B和840B、端到端部分830B类似于参考图8描述的对应金属线820和840、联合830,只是在X方向上反向。
图23是根据一些实施例的对应于图20中所示的线H-H'、图21中所示的线I-I'以及22A中所示的线J-J'截取的电路1806(展示于图19中)的横截面2202的图。图23说明横截面2202包含电路1806的FEOL部分2204和BEOL部分2206两者。为简单起见,使用与对应图20、21和22A中的布局2000、2002和2200A中的对应形状相同的参考数字标注横截面2202中的结构元件。
FEOL部分2204包含衬底2001、介电隔离结构2003、栅极结构2022和2024以及源极或漏极触点2034和2042。介电隔离结构2003形成于衬底2001上。栅极结构2022和2024形成于介电隔离结构2003上。源极或漏极触点2034和2042形成于介电隔离结构2003上。BEOL部分2206包含在通孔层via0中的通孔2044和端到端部分630A,在金属层M1中的金属线620A以及在金属层M2中的金属线2092、2094和2096。通孔2044将金属线620A耦合到触点2042。金属线620A和端到端部分630A类似于参考图3描述的对应金属线120和端到端部分130。
图24是根据一些实施例的包含图23中所示的BEOL部分2206中的直到金属层M1的电路1806(展示于图19中)的层以及金属层M2中的电路1806的端到端部分2080的层的布局2400的图。图24说明用于使用金属层M2中的端到端部分2080以及通孔层via1中的通孔2075和2085耦合的较高电力供应节点CVdd的金属线2070和2090。除了阵列单元SC81的BEOL部分之外,较高电力供应节点CVdd进一步包含在IO电路1804的对应BEOL部分中的金属线2090以及跨越阵列单元SC81的BEOL部分和IO电路1804的BEOL部分的端到端部分2080和通孔2075和2085。由金属线620A和640A、端到端部分630A、金属线2070和2090、端到端部分2080以及通孔2075和2085形成的结构元件类似于参考图16描述的对应金属线120和140、端到端部分130、金属线170和190、端到端部分1080以及通孔1075和1085。由金属线620B和640B、端到端部分630B、金属线2070和2090、端到端部分2080以及通孔2075和2085形成的结构元件类似于参考图16描述的对应金属线120和140、端到端部分130、金属线170和190、端到端部分1080以及通孔1075和1085,只是在X方向上反向。
图25是根据一些实施例的包含图26中所示的BEOL部分2506中的直到金属层M1的电路1806(展示于图19中)的层以及图26中所示的FEOL部分2504中的接触层中的额外部分2536A和2536B的布局2500的图。与图22A中所示的布局2200A相比,布局2500进一步包含在接触层中且耦合到端到端部分630A的额外部分2536A以及在接触层中且耦合到端到端部分630B的额外部分2536B。在一些实施例中,额外部分2536A不延伸到金属线620A和640A,且额外部分2536B不延伸到金属线620B和640B以避免干扰接触层中的其它结构。端到端部分630A和额外部分2536A类似于参考图5描述的端到端部分130和额外部分536。端到端部分630B和额外部分2536B类似于参考图5描述的端到端部分130和额外部分536,只是在X方向上反向。
图26是根据一些实施例的对应于图20中所示的线H-H'、图21中所示的线I-I'以及图25中所示的线K-K'截取的电路1806(展示于图19中)的横截面2502的图。与图23中的横截面2202相比,横截面2502进一步包含在接触层中的额外部分2536A。额外部分2536A形成于介电隔离结构2003上方和端到端部分630A下方,且耦合到端到端部分630A。
图27是根据一些实施例的包含图30中所示的FEOL部分2904中的阵列单元SC81(指示于图19中)的层以及BEOL部分2906中的阵列单元SC81的通孔层via0的布局2700的图。与图20中所示的布局2000(其中N-FETPG1和PD1以及N-FETPG2和PD2为单鳍片式的)相比,布局2700中的N-FETPG1和PD1以及N-FETPG2和PD2为双鳍片式的。图27中所示的P-FETPU1和PU2具有与图20中所示的对应P-FETPU1和PU2相同的结构,且使用与图20中所示的P-FETPU1和PU2的元件相同的参考数字加以标注。出于说明的目的,用于N-FETSPG1和SPD1或N-FETSPG2和SPD2的鳍片结构的数目为二。用于P-FETSPU1和SPU2的鳍片结构的数目为一。用于N-FETSPG1和SPD1或N-FETSPG2和SPD2的鳍片结构的另一数目以及用于P-FETSPU1和SPU2的鳍片结构的另一数目在本发明的涵盖范围内。
对于N-FETPG1,栅极包含包围鳍片结构2715中的N-FETPG1的通道区域和鳍片结构2717中的N-FETPG1的通道区域的栅极结构2724。栅极结构2724对应于图20中所示的栅极结构2024。鳍片结构2715和2717对应于图20中所示的鳍片结构2016。字线WL8耦合到通孔2748,所述通孔又耦合到与栅极结构2724接触的栅极触点2746。通孔2748和栅极触点2746对应于图20中所示的对应通孔2048和栅极触点2046。另外,N-FETPG1的第一源极或漏极包含在形成于栅极结构2724的一侧上且使用触点2734耦合在一起的鳍片结构2715和2717中的第一源极或漏极区。N-FETPG1的第二源极或漏极包含在形成于栅极结构2724的另一侧上且使用触点2742耦合在一起的鳍片结构2715和2717中的第二源极或漏极区。触点2734和2742对应于图20中所示的对应触点2034和2042。位线BL1耦合到通孔2744,所述通孔又耦合到与鳍片结构2715和2717中的第二源极或漏极区接触的触点2742。通孔2744对应于图20中所示的通孔2044。
对于N-FETPD1,栅极包含包围鳍片结构2715中的N-FETPD1的通道区域和鳍片结构2717中的N-FETPD1的通道区域的栅极结构2722。栅极结构2722对应于图20中所示的栅极结构2022。另外,N-FETPD1的源极包含在形成于栅极结构2722的一侧上且使用触点2738耦合在一起的鳍片结构2715和2717中的源极区。触点2738对应于图20中所示的触点2038。N-FETPD1的漏极包含在形成于栅极结构2722的另一侧上且使用触点2734耦合在一起的鳍片结构2715和2717中的漏极区。用于鳍片结构2715和2717中的N-FETPG1的第一源极或漏极区与用于鳍片结构2715和2717中的N-FETPD1的漏极区共享。触点2734也在N-FETPG1与N-FETPD1之间共享。较低电力供应节点CVss耦合到通孔2740,所述通孔又耦合到与鳍片结构2715和2717中的N-FETPD1的源极区接触的触点2738。通孔2740对应于图20中所示的通孔2040。
对于N-FETPG2,栅极包含包围鳍片结构2719中的N-FETPG2的通道区域和鳍片结构2721中的N-FETPG2的通道区域的栅极结构2728。栅极结构2728对应于图20中所示的栅极结构2028。鳍片结构2719和2721对应于图20中所示的鳍片结构2020。字线WL8耦合到通孔2768,所述通孔又耦合到与栅极结构2728接触的栅极触点2766。通孔2768和栅极触点2766对应于图20中所示的对应通孔2068和栅极触点2066。另外,N-FETPG2的第一源极或漏极包含在形成于栅极结构2728的一侧上且使用触点2754耦合在一起的鳍片结构2719和2721中的第一源极或漏极区。N-FETPG2的第二源极或漏极包含在形成于栅极结构2728的另一侧上且使用触点2762耦合在一起的鳍片结构2719和2721中的第二源极或漏极区。触点2754和2762对应于图20中所示的对应触点2054和2062。互补位线BLB1耦合到通孔2764,所述通孔又耦合到与鳍片结构2719和2721中的第二源极或漏极区接触的触点2762。通孔2764对应于图20中所示的通孔2064。
对于N-FETPD2,栅极包含包围鳍片结构2719中的N-FETPD2的通道区域和鳍片结构2721中的N-FETPD2的通道区域的栅极结构2726。栅极结构2726对应于图20中所示的栅极结构2026。另外,N-FETPD2的源极包含在形成于栅极结构2726的一侧上且使用触点2758耦合在一起的鳍片结构2719和2721中的源极区。触点2758对应于图20中所示的触点2058。N-FETPD2的漏极包含在形成于栅极结构2726的另一侧上且使用触点2754耦合在一起的鳍片结构2719和2721中的漏极区。用于鳍片结构2719和2721中的N-FETPG2的第一源极或漏极区与用于鳍片结构2719和2721中的N-FETPD2的漏极区共享。触点2754也在N-FETPG2与N-FETPD2之间共享。较低电力供应节点CVss耦合到通孔2760,所述通孔又耦合到与鳍片结构2719和2721中的N-FETPD2的源极区接触的触点2758。通孔2760对应于图20中所示的通孔2060。
图28是根据一些实施例的包含图30中的BEOL部分2906中的阵列单元SC81(指示于图19中)的层以及图30中所示的横截面2902中不可见的阵列单元SC81的金属层M3的布局2702的图。较低电力供应节点CVss包含在金属层M1中的金属线2774和2776,在通孔层via1中的通孔2784和2786以及在金属层M2中的金属线2792,在通孔层via2中的通孔2798以及在金属层M3中的金属线2800。金属线2774、通孔2784、金属线2792和通孔2798对应于图20中所示的着陆衬垫2074、通孔2084、金属线2094和通孔2100。金属线2776、通孔2786、金属线2792和通孔2798对应于图20中所示的着陆衬垫2076、通孔2086、金属线2092和通孔2098。金属线2800对应于图20中所示的金属线2102。与图21中所示的布局2002相比,替代于耦合到金属层M2中的对应金属线2092和2094的金属层M1中的着陆衬垫2074和2076在长度上大体上沿着X方向延伸,金属线2774和2776在金属层M1中在长度上大体上沿着Y方向延伸,且耦合到在金属层M2中在长度上大体上沿着X方向延伸的一个金属线2792。归因于用于较低电力供应节点CVss的金属线2774和2776的布置,用于较低电力供应节点CVss的一个金属线2792存在于金属层M2中,而非在图21中所示的布局2002中的两个金属线2092和2094。
位线BL1包含在金属层M1中在长度上大体上沿着Y方向延伸的金属线620C。互补位线BLB1包含在金属线M1中在长度上大体上沿着Y方向延伸的金属线620D。金属线620C和620D对应于图20中所示的对应金属线620A和620B。字线WL8包含在金属层M1中的着陆衬垫2772和2778,在通孔层via1中的通孔2782和2788,以及在金属层M2中的金属线2796。金属线2796在长度上大体上沿着X方向延伸,且经由对应通孔2748和2788以及对应着陆衬垫2772和2778耦合到通孔层via0中的通孔2748和2768。着陆衬垫2772和2778、通孔2782和2788以及金属线2796对应于图20中所示的对应着陆衬垫2072和2078、通孔2082和2088以及金属线2096。在一些实施例中,较高电力供应节点CVdd的金属线2070位于金属层M1中,且较低电力供应节点CVss的金属线2774和2776位于金属层M1中以实现位线BL1和互补位线BLB1的电容减小。
图29是根据一些实施例的包含图30中所示的BEOL部分2906中的直到金属层M1的电路1806(展示于图19中)的层的布局2900的图。与图22A中所示的布局2200A相比,用于布局2900的阵列单元SC81的BEOL部分是从图28中所示的布局2702而非从图21中所示的布局2002获得。位线BL1包含金属线620C、端到端部分630C和金属线640C。金属线620C、端到端部分630C和金属线640C对应于图22A中所示的对应金属线620A、端到端部分630A和金属线640A。互补位线BLB1包含金属线620D、端到端部分630D和金属线640D。金属线620D、端到端部分630D和金属线640D对应于图22A中所示的对应金属线620B、端到端部分630B和金属线640B。
图30是根据一些实施例的对应于图27中所示的线L-L'、图28中所示的线M-M’以及图29中所示的线N-N'截取的电路1806(展示于图19中)的横截面2902的图。图30说明横截面2902包含电路1806的FEOL部分2904和BEOL部分2906两者。为简单起见,使用与对应图27、28和29中的布局2700、2702和2900中的对应形状相同的参考数字标注横截面2902中的结构元件。
FEOL部分2904包含衬底2701、介电隔离结构2703、栅极结构2722和2724以及源极或漏极触点2734和2742。介电隔离结构2703形成于衬底2701上。栅极结构2722和2724形成于介电隔离结构2703上。源极或漏极触点2734和2742形成于介电隔离结构2703上。BEOL部分2906包含在通孔层via0中的通孔2744和端到端部分630C,在金属层M1中的金属线620C以及在金属层M2中的金属线2792和2796。通孔2744将金属线620C耦合到触点2742。金属线620C和端到端部分630C类似于参考图3描述的对应金属线120和端到端部分130。
图31是根据一些实施例的包含图33中所示的BEOL部分3106中的直到金属层M2的电路1806(展示于图19中)的层的有效布局3100的图。有效布局3100展示金属层M2作为图32中所示的实际布局中的金属层M2和切割层的差异结果。与图29中所示的布局2900相比,位线BL1包含用于将金属线620C和640C耦合在一起的在金属层M2中的端到端部分1430C以及在通孔层via1中的通孔1425C和1435C。互补位线BLB1包含用于将金属线620D和640D耦合在一起的在金属层M2中的端到端部分1430D以及在通孔层via1中的通孔1425D和1435D。此外,较高电力供应节点CVdd包含在金属层M1中的金属线2070和2090以及与金属线2070和2090重叠的在通孔层via0中的端到端部分3180。由金属线620C和640C、端到端部分1430C、通孔1425C和1435C、金属线2070和2090以及端到端部分3180形成的结构元件类似于参考图17描述的对应金属线120和140、端到端部分1030、通孔1025和1035、金属线170和190以及端到端部分180。由金属线620D和640D、端到端部分1430D、通孔1425D和1435D、金属线2070和2090以及端到端部分3180形成的结构元件类似于参考图17描述的对应金属线120和140、端到端部分1030、通孔1025和1035、金属线170和190以及端到端部分180,只是在X方向上反向。在一些实施例中,位线BL1的金属线620C和640C以及互补位线BLB1的金属线620D和640D实施于金属层M1或互连层当中的较薄最低层级金属层中以用于减小位线BL1和互补位线BLB1上的电容。
图32是根据一些实施例的从其获得图31中所示的有效布局3100的实际布局3200的图。在长度上大体上沿着X方向延伸以与金属线620C和620D重叠且被金属线620C和640C的相对侧上的切割线3227和3228切割的金属线3226有效地构成端到端部分1430C。类似地,被金属线620D和640D的相对侧上的切割线3228和切割线3229切割的金属线3226有效地构成端到端部分1430D。金属线620C和640C、金属线3226以及切割线3227和3228类似于图14中所示的对应金属线620和640、金属线1426以及切割线1427和1428。金属线620D和640D、金属线3226以及切割线3228和3229类似于图14中所示的对应金属线620和640、金属线1426以及切割线1427和1428,只是在X方向上反向。
图33是根据一些实施例的对应于图27中所示的线L-L'、图28中所示的线M-M'和图31中所示的线O-O'截取的电路1806(展示于图19中)的横截面3102的图。与图30中所示的横截面2902相比,横截面3102包含在金属线620C上方且通过通孔1425C耦合到金属线620C的端到端部分1430C而非在金属线620C下方且直接耦合到金属线620C的端到端部分630C。金属线620C、通孔1425C和端到端部分1430C类似于参考图12描述的对应金属线120、通孔1025和端到端部分1030。
双端口SRAM宏
图34是根据一些实施例的包含双端口SRAM单元SCDP和IO电路3404的对应部分的电路3406的电路图。与图19中所示的电路1806中的单端口单元SC81相比,双端口SRAM单元SCDP包含大体类似于电路1806中的单端口单元SC81的部分3408和不包含于电路1806中的部分3410。部分3408包含用于写入的输入数据线WT和互补输入数据线WC,且部分3410包含用于读取的读取位线RBL。存取线的类型还包含例如读取位线RBL等读取位线。部分3408中的与电路1806中的元件相同的元件使用相同参考数字加以标注。阵列单元SCDP的部分3410进一步包含N-FETRPD1和N-FETRPG1。N-FETRPD1具有耦合到存储节点SN的栅极、耦合到较低电力供应节点CVss的源极和耦合到N-FETRPG1的第一源极或漏极的漏极。N-FETRPG1具有耦合到读取字线RWL的栅极、耦合到N-FETRPD1的漏极的第一源极或漏极和耦合到读取位线RBL的第二源极或漏极。与电路1806中的IO电路1804相比,电路3406中的IO电路3404不包含用于部分3408的P-FETQ1到Q6,且包含用于部分3410的P-FETQ8。P-FETQ8的栅极耦合到控制线BLPC,P-FETQ8的源极耦合到预充电电压VPC,且P-FETQ8的漏极耦合到读取位线RBL。在读取操作之前的预充电操作期间,控制线BLPC处的信号接通P-FETQ8以对读取位线RBL进行预充电。
图35是根据一些实施例的包含类似于图30中所示的FEOL部分2904的FEOL部分中的阵列单元SCDP(指示于图34中)的层以及类似于图30中所示的BEOL部分2906的BEOL部分中的阵列单元SCDP的通孔层via0的布局3500的图。布局3500包含如图27中所示用于阵列单元SCDP的部分3408的布局2700和用于阵列单元SCDP的部分3410的布局3502。在布局3500中,对应于用于P-FETPU2和N-FETPD2的栅极结构2726的栅极结构3526在长度上从布局2700延伸到布局3502中以还充当用于N-FETRPD1的栅极结构。在布局3502中,对于N-FETRPD1,栅极由穿越鳍片结构3504、3506和3508的栅极结构3526形成。源极由用于在栅极结构3526的一侧上且通过触点3512耦合的鳍片结构3504、3506和3508中的N-FETRPD1的源极区形成。漏极由用于在栅极结构3526的另一侧上且通过触点3516耦合的鳍片结构3504、3506和3508中的N-FETRPD1的漏极区形成。较低电力供应节点CVss耦合到通孔层via0中的通孔3514,所述通孔又耦合到触点3512。对于N-FETRPG1,栅极由穿越鳍片结构3504、3506和3508的栅极结构3510形成。读取字线RWL经由通孔层via0中的通孔3524和触点3522耦合到栅极结构3510。第一源极或漏极由用于在栅极结构3510的一侧上且与鳍片结构3504、3506和3508中的N-FETRPD1的漏极区共享的鳍片结构3504、3506和3508中的N-FETRPG1的第一源极或漏极区形成。第二源极或漏极由用于在栅极结构3510的另一侧上且通过触点3518耦合在一起的鳍片结构3504、3506和3508中的N-FETRPG1的第二源极或漏极区形成。读取位线RBL耦合到通孔层via0中的通孔3520,所述通孔又耦合到触点3518。
图36是根据一些实施例的图34中所示的电路3406的布局3600的图。布局3600包含如图29中所示用于部分3408的布局2900和用于部分3410的布局3602。布局3410包含所述阵列单元SCDP的部分和用于IO电路3404的部分。读取位线RBL包含在用于阵列单元SCDP的部分中的金属线3620、在用于IO电路3404的部分中的金属线3640和端到端部分3630。金属线3620和3640以及端到端部分3630类似于图29中的布局2900中的对应金属线620D和640D以及端到端部分630D。
图37是根据其它实施例的图34中所示的电路3406的布局3700的图。与图36中所示的布局3600相比,用于部分3410的布局3702具有读取位线RBL,所述读取位线RBL包含大体上沿着Y方向从用于阵列单元SCDP的部分延伸到用于IO电路3404的部分的金属线3720。
每一SRAM宏具有使用参考图1到15D描述的任何互连结构实施的垂直线。举例来说,垂直线为位线BL1、互补位线BLB1或读取位线RBL。垂直线包含在阵列单元的一部分和IO电路的一部分中的未对准金属线。具有使用类似于参考图1到15D描述的任何互连结构的互连结构实施的水平线的其它SRAM宏在本发明的涵盖范围内。举例来说,水平线为参考图18和19描述的字线WL8。水平线包含在阵列单元的一部分和字线解码电路的一部分中的未对准金属线。此外,每一SRAM宏具有使用具有水平延行鳍片结构的FinFET形成的P-FET和N-FET。例如使用全部围绕具有水平或垂直延行纳米线的FET的栅极的那些SRAM宏的其它SRAM宏在本发明的涵盖范围内。此外,具有使用参考图1到17描述的任何互连结构的垂直线或水平线的其它电路在本发明的涵盖范围内。其它电路包含例如具有逻辑阵列的电路阵列、动态随机存取存储器(DRAM)宏、像素阵列,等。
一些实施例具有所描述特征和/或优势中的一者或组合。例如SRAM宏等电路的布局包含栅格上部分(例如具有布线于栅格上以节省OPC的执行时间的金属线的存取电路)和不在栅格上的部分(例如具有不布线于栅格上以节省晶片区域的金属线的阵列单元阵列)。此外,布线于栅格上的金属线可实现优化的电路速度或电路密度。SRAM宏中跨越栅格上部分和不在栅格上的部分延伸的金属线的实例包含位线对和字线。在其它方法中,为连接归因于布线在栅格上和不布线在栅格上而未对准的金属线,使用弯曲或急转金属布线。然而,对于高级技术节点,由于间隔物光刻工艺限制,用于不在栅格上的部分的金属线基于限制性布线规则进行布线,所述限制性布线规则需要金属线为单向的且具有固定和紧密的金属间距,且禁止弯曲或急转的金属布线。因此,用于栅格上部分的金属线不能够直接连接到用于不在栅格上的部分的金属线。结果,在一些实施例中,通过包含在第一互连层下方或上方的第二互连层中的端到端部分的连接结构耦合第一互连层中的未对准金属线。因此,第一互连层中的未对准金属线仍遵守限制性布线规则以适应光刻工具的限制,同时保留通过第一互连层中的不在栅格上的布线实现的区域节省益处。此外,在一些实施例中,在第一互连层下方实施的端到端部分为单镶嵌通孔。在一些实施例中,端到端部分具有弯曲形状。在一些实施例中,通过使未对准金属线朝向彼此延伸而使得端到端部分与未对准金属线的延伸超出对应邻近金属线的部分重叠且加宽端到端部分在其与未对准金属线重叠处的宽度而减小端到端部分的电阻。在一些实施例中,通过在不同于第一互连层和第二互连层的互连层中添加额外部分且将所述额外部分耦合到端到端部分而减小端到端部分的电阻。在一些实施例中,端到端部分具有矩形形状。在一些实施例中,在互连层上方实施的端到端部分为通过插入其间的通孔耦合到未对准金属线的金属线。
在一些实施例中,一种互连结构包含第一金属线、第二金属线及第一连接结构。所述第一金属线形成于第一互连层中,在长度上大体上沿着第一方向延伸且在第一末端部分处结束。所述第二金属线形成于所述第一互连层中,从第二末端部分开始且在长度上大体上沿着所述第一方向而延伸。所述第二金属线与所述第一金属线在所述第一方向上未对准。所述第一连接结构将所述第一金属线耦合到所述第二金属线。所述第一连接结构包含形成于不同于所述第一互连层的第二互连层中的第一端对端部分,且与所述第一末端部分和所述第二末端部分重叠。
在一些实施例中,一种半导体芯片包含阵列单元、存取电路和第一连接结构。所述阵列单元包含形成于第一互连层中且大体上沿着第一方向延伸的第一金属线。所述存取电路经配置以存取所述阵列单元,且包含形成于第一互连层中且大体上沿着第一方向延伸的第二金属线。所述第一连接结构使用不同于第一互连层的第二互连层将第一金属线耦合到第二金属线。耦合的第一金属线、第二金属线和第一连接结构充当阵列单元的存取线。
在一些实施例中,一种布局包含第一互连层和第二互连层。所述第一互连层包含第一金属线和第二金属线。所述第一金属线在长度上大体上沿着第一方向延伸且在第一末端部分处结束。所述第二金属线从第二末端部分开始,在长度上大体上沿着第一方向延伸。所述第二金属线在第一方向上与第一金属线未对准。第二互连层不同于第一互连层。第二互连层包含与第一末端部分和第二末端部分重叠的第一形状。
前文概述若干实施例的特征以使得所属领域的一般技术人员可更好地理解本发明的各方面。所属领域的一般技术人员应理解,其可易于使用本发明作为设计或修改用于实现本文中所引入的实施例的相同目的和/或获得相同优点的其它过程和结构的基础。所属领域的一般技术人员还应认识到,此类等效构造并不脱离本发明的精神和范围,且其可在不脱离本发明的精神和范围的情况下在本文中进行各种改变、替代和更改。

Claims (10)

1.一种互连结构,其包括:
第一金属线,其形成于第一互连层中,在长度上大体上沿着第一方向延伸且在第一末端部分处结束;
第二金属线,其形成于所述第一互连层中,从第二末端部分开始,在长度上大体上沿着所述第一方向延伸且在所述第一方向上与所述第一金属线未对准;以及
第一连接结构,其将所述第一金属线耦合到所述第二金属线,
其中
所述第一连接结构包括形成于不同于所述第一互连层的第二互连层中的第一端到端部分,且与所述第一末端部分和所述第二末端部分重叠。
2.根据权利要求1所述的互连结构,其中
所述第一端到端部分为单镶嵌通孔。
3.根据权利要求1所述的互连结构,其中
所述第一端到端部分具有至少包括第一拐角和第二拐角的形状;
所述第一拐角从大体上沿着所述第一方向弯曲到大体上沿着大体上正交于所述第一方向的第二方向;且
所述第二拐角从大体上沿着所述第一方向弯曲到大体上沿着所述第二方向。
4.根据权利要求1所述的互连结构,其中
所述第一端到端部分具有大体上矩形形状,其宽度跨越所述第一末端部分的宽度和所述第二末端部分的宽度。
5.根据权利要求1所述的互连结构,其中
所述第一端到端部分为第三金属线;且
所述第一连接结构进一步包括:
第一通孔,其将所述第一端到端部分耦合到所述第一金属线;及
第二通孔,其将所述第一端到端部分耦合到所述第二金属线。
6.根据权利要求1所述的互连结构,其中
所述第一端到端部分在所述第一端到端部分与所述第一末端部分重叠处的宽度比所述第一末端部分的宽度宽至少约10%。
7.根据权利要求1所述的互连结构,其进一步包括:
第三金属线,其形成于所述第一互连层中且在长度上大体上沿着所述第一方向延伸,
其中
所述第一末端部分的至少一部分在所述第一方向上超出所述第三金属线。
8.一种半导体芯片,其包括:
阵列单元,其包括:
第一金属线,其形成于第一互连层中且大体上沿着第一方向延伸;
存取电路,其经配置以存取所述阵列单元且包括:
第二金属线,其形成于所述第一互连层中且大体上沿着所述第一方向延伸;以及
第一连接结构,其使用不同于所述第一互连层的第二互连层将所述第一金属线耦合到所述第二金属线,
其中所述耦合的第一金属线、第二金属线与第一连接结构充当所述阵列单元的存取线。
9.根据权利要求8所述的半导体芯片,其中
所述第一端到端部分具有至少包括第一拐角和第二拐角的形状;
所述第一拐角从大体上沿着所述第一方向弯曲到大体上沿着大体上正交于所述第一方向的第二方向;且
所述第二拐角从大体上沿着所述第一方向弯曲到大体上沿着所述第二方向。
10.根据权利要求8所述的半导体芯片,其中
所述第一连接结构包括与所述第一金属线和所述第二金属线重叠的单镶嵌通孔。
CN201511000698.8A 2014-12-26 2015-12-28 具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局 Active CN105762110B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462096978P 2014-12-26 2014-12-26
US62/096,978 2014-12-26
US14/978,487 US9583438B2 (en) 2014-12-26 2015-12-22 Interconnect structure with misaligned metal lines coupled using different interconnect layer
US14/978,487 2015-12-22

Publications (2)

Publication Number Publication Date
CN105762110A true CN105762110A (zh) 2016-07-13
CN105762110B CN105762110B (zh) 2019-06-21

Family

ID=56116797

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201511000698.8A Active CN105762110B (zh) 2014-12-26 2015-12-28 具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局

Country Status (5)

Country Link
US (2) US9583438B2 (zh)
KR (1) KR101780872B1 (zh)
CN (1) CN105762110B (zh)
DE (1) DE102015122667B4 (zh)
TW (1) TWI600142B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109994475A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 半导体元件与半导体装置
CN111445935A (zh) * 2019-01-16 2020-07-24 爱思开海力士有限公司 半导体装置

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108022905A (zh) * 2016-11-04 2018-05-11 超威半导体公司 使用多个金属层的转接板传输线
US9825032B1 (en) 2016-11-23 2017-11-21 Globalfoundries Inc. Metal layer routing level for vertical FET SRAM and logic cell scaling
US10249496B2 (en) * 2017-05-05 2019-04-02 Globalfoundries Inc. Narrowed feature formation during a double patterning process
US10325845B2 (en) * 2017-06-21 2019-06-18 Qualcomm Incorporated Layout technique for middle-end-of-line
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
KR102335107B1 (ko) 2017-10-16 2021-12-03 삼성전자 주식회사 로우 디코더를 포함하는 비휘발성 메모리 장치
US10678142B2 (en) 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
US10916498B2 (en) 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US10418368B1 (en) 2018-07-10 2019-09-17 Globalfoundries Inc. Buried local interconnect in source/drain region
US11055464B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10867108B2 (en) 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10866505B2 (en) 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US10727237B2 (en) 2018-09-27 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11092899B2 (en) 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US10978460B2 (en) 2019-04-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
US11295056B2 (en) 2020-01-31 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Vertex-based OPC for opening patterning
US11137691B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fixing blank mask defects by revising layouts
TWI746332B (zh) * 2020-12-30 2021-11-11 華邦電子股份有限公司 半導體連接結構及其製造方法
US11587872B2 (en) 2021-02-12 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for improving memory performance and/or logic performance
US11610043B2 (en) 2021-03-05 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning based model builder and its applications for pattern transferring in semiconductor manufacturing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1484303A (zh) * 2002-07-31 2004-03-24 ��ʿͨ��ʽ���� 半导体装置及其制造方法
CN1988146A (zh) * 2005-12-22 2007-06-27 中芯国际集成电路制造(上海)有限公司 哑元图案和机械增强低k介电材料的制造方法
CN101232037A (zh) * 2008-02-26 2008-07-30 中国科学院上海微系统与信息技术研究所 多层次相变存储阵列与下层外围电路互连的方法
CN101552261A (zh) * 2008-04-01 2009-10-07 恩益禧电子股份有限公司 半导体集成电路及其布局方法
CN101840905A (zh) * 2009-03-12 2010-09-22 三星电子株式会社 集成电路器件、金属互连及其制造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375489B1 (en) 2000-01-10 2002-04-23 Miraco, Inc. Dynamic contact orientating universal circuit grabber
JP4082236B2 (ja) * 2003-02-21 2008-04-30 ソニー株式会社 半導体装置及びその製造方法
CN101727429B (zh) 2005-04-21 2012-11-14 提琴存储器公司 一种互连系统
KR100782488B1 (ko) * 2006-08-24 2007-12-05 삼성전자주식회사 매립 배선들을 갖는 반도체소자 및 그 제조방법
US9385088B2 (en) * 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US8687437B2 (en) 2010-11-30 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuitry
US8630132B2 (en) 2011-05-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM read and write assist apparatus
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US9355910B2 (en) * 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8605523B2 (en) 2012-02-17 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking capacitive loads
US8964492B2 (en) 2012-07-27 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking mechanism for writing to a memory cell
US8760948B2 (en) 2012-09-26 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bitcells tracking scheme semiconductor memory array
US8982643B2 (en) 2012-12-20 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared tracking circuit
US9324413B2 (en) 2013-02-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuit, memory device and method
US8929160B2 (en) 2013-02-28 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking circuit
US9117510B2 (en) 2013-03-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit for memory write data operation
US9129707B2 (en) 2013-10-02 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port SRAM with dummy read recovery
US10431295B2 (en) 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US9281056B2 (en) 2014-06-18 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of using the same
US9218872B1 (en) 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
US9564211B2 (en) 2014-06-27 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory chip and layout design for manufacturing same
US9455025B2 (en) 2014-06-27 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US20160079167A1 (en) * 2014-09-12 2016-03-17 Qualcomm Incorporated Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1484303A (zh) * 2002-07-31 2004-03-24 ��ʿͨ��ʽ���� 半导体装置及其制造方法
CN1988146A (zh) * 2005-12-22 2007-06-27 中芯国际集成电路制造(上海)有限公司 哑元图案和机械增强低k介电材料的制造方法
CN101232037A (zh) * 2008-02-26 2008-07-30 中国科学院上海微系统与信息技术研究所 多层次相变存储阵列与下层外围电路互连的方法
CN101552261A (zh) * 2008-04-01 2009-10-07 恩益禧电子股份有限公司 半导体集成电路及其布局方法
CN101840905A (zh) * 2009-03-12 2010-09-22 三星电子株式会社 集成电路器件、金属互连及其制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109994475A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 半导体元件与半导体装置
CN109994475B (zh) * 2018-01-03 2022-07-05 蓝枪半导体有限责任公司 半导体元件与半导体装置
CN111445935A (zh) * 2019-01-16 2020-07-24 爱思开海力士有限公司 半导体装置
CN111445935B (zh) * 2019-01-16 2023-04-11 爱思开海力士有限公司 半导体装置

Also Published As

Publication number Publication date
CN105762110B (zh) 2019-06-21
DE102015122667B4 (de) 2020-10-01
US20160190065A1 (en) 2016-06-30
DE102015122667A1 (de) 2016-06-30
TW201635494A (zh) 2016-10-01
US9865542B2 (en) 2018-01-09
KR101780872B1 (ko) 2017-09-22
US9583438B2 (en) 2017-02-28
KR20160079710A (ko) 2016-07-06
US20170133320A1 (en) 2017-05-11
TWI600142B (zh) 2017-09-21

Similar Documents

Publication Publication Date Title
CN105762110A (zh) 具有使用不同互连层耦合的未对准金属线的互连结构、半导体芯片及布局
US20210159237A1 (en) Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member
US20220328518A1 (en) Methods for forming multilayer horizontal nor-type thin-film memory strings
US9006841B2 (en) Dual port SRAM having reduced cell size and rectangular shape
US9269660B2 (en) Multilayer connection structure
CN105097816B (zh) 集成电路、多层装置的结构及其制造方法
US8404593B2 (en) Semiconductor devices including interlayer conductive contacts and methods of forming the same
US9425209B1 (en) Multilayer 3-D structure with mirror image landing regions
CN106463511A (zh) 非易失性存储器的直通阵列布线
CN109923668A (zh) 使用虚设键合触点的混合键合
CN104319276A (zh) 一种非易失性三维半导体存储器的栅电极及其制备方法
KR100868101B1 (ko) 반도체 메모리 장치
CN112018238A (zh) 三维存储器的制造方法
US6821848B2 (en) Tunnel-junction structures and methods
JP2012028590A (ja) 半導体装置および半導体装置の製造方法
TWI575661B (zh) 具有鏡像落著區之多層三維結構
US7919407B1 (en) Method of high density field induced MRAM process
CN106601751B (zh) 具有镜像落着区的多层三维结构及集成电路
US8987907B2 (en) Semiconductor device
TWI426590B (zh) 三維記憶體陣列
JP2015095596A (ja) スタック型3dメモリ
US20220293510A1 (en) Semiconductor device and method for forming the structure of word-line avoiding short circuit thereof
CN105826324A (zh) 三维半导体元件及其制造方法
KR20110071924A (ko) 안티퓨즈 메모리 소자 및 안티퓨즈 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant