TW201635494A - 具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局 - Google Patents

具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局 Download PDF

Info

Publication number
TW201635494A
TW201635494A TW104143512A TW104143512A TW201635494A TW 201635494 A TW201635494 A TW 201635494A TW 104143512 A TW104143512 A TW 104143512A TW 104143512 A TW104143512 A TW 104143512A TW 201635494 A TW201635494 A TW 201635494A
Authority
TW
Taiwan
Prior art keywords
metal
layer
end portion
line
interconnect
Prior art date
Application number
TW104143512A
Other languages
English (en)
Other versions
TWI600142B (zh
Inventor
廖忠志
陳炎輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201635494A publication Critical patent/TW201635494A/zh
Application granted granted Critical
Publication of TWI600142B publication Critical patent/TWI600142B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本發明涉及具有使用不同互連層耦合的未對準金屬線的互連結構、半導體晶片及布局。在一些實施例中,一種互連結構包括一第一金屬線、一第二金屬線及一第一連接結構。該第一金屬線形成於一第一互連層中,在長度上實質上沿著一第一方向延伸且在一第一末端部分處結束。該第二金屬線形成於該第一互連層中,自一第二末端部分開始且在長度上實質上沿著該第一方向延伸。該第二金屬線在該第一方向上與該第一金屬線未對準。該第一連接結構將該第一金屬線耦接至該第二金屬線。該第一連接結構包括形成於不同於該第一互連層之一第二互連層中的一第一端至端部分,且與該第一末端部分及該第二末端部分重疊。

Description

具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局
一種互連結構,特別為關於具有使用不同互連層耦接之未對準金屬線之互連結構、半導體晶片及布局。
半導體晶片包括提供不同功能且進行通信以實現目的之電路區塊。舉例而言,單組靜態隨機存取記憶體(SRAM)晶片包括例如陣列單元之陣列、字線解碼電路及輸入/輸出(IO)電路等電路區塊。陣列單元之陣列用於在可定址之位置儲存資料。字線解碼電路用於根據列位址選擇該陣列單元之陣列中的列以用於存取。IO電路用於根據行位址存取陣列單元之該選定列中的行。半導體晶片之互連結構包括對應用於陣列單元之陣列、字線解碼電路及IO電路等之金屬線部分。每一金屬線自一個部分延伸至另一部分。例如,沿著陣列單元之陣列之行延行的位元線及互補位元線延伸至IO電路以使得該IO電路可存取選定陣列單元。電路區塊連同互連結構之耦接之各別部分一起用以儲存及恢復資料。
在一些實施例中,一種互連結構包括第一金屬線、第二金屬線及第一連接結構。該第一金屬線形成於第一互連層中,在長度上實質 上沿著第一方向延伸且在第一末端部分處結束。該第二金屬線形成於該第一互連層中,自第二末端部分開始且在長度上實質上沿著該第一方向而延伸。該第二金屬線與該第一金屬線在該第一方向上未對準。該第一連接結構將該第一金屬線耦接至該第二金屬線。該第一連接結構包括形成於不同於該第一互連層之第二互連層中之第一端對端部分,且與該第一末端部分及該第二末端部分重疊。
在一些實施例中,一種半導體晶片包括陣列單元、存取電路及第一連接結構。該陣列單元包括形成於第一互連層中且實質上沿著第一方向延伸之第一金屬線。該存取電路經組態以存取該陣列單元,且包括形成於第一互連層中且實質上沿著第一方向延伸之第二金屬線。該第一連接結構使用不同於第一互連層之第二互連層將第一金屬線耦接至第二金屬線。耦接之第一金屬線、第二金屬線及第一連接結構充當陣列單元之存取線。
100‧‧‧互連結構
102‧‧‧單鑲嵌互連結構
120‧‧‧金屬線
120A‧‧‧擴散阻障層
120B‧‧‧導電層
122‧‧‧末端部分
124‧‧‧連接結構
130‧‧‧端至端部分
130A‧‧‧擴散阻障層
130B‧‧‧導電層
132‧‧‧拐角
134‧‧‧拐角
136‧‧‧拐角
140‧‧‧金屬線
142‧‧‧末端部分
150‧‧‧互連結構
152‧‧‧互連結構
154‧‧‧線
156‧‧‧線
170‧‧‧金屬線
174‧‧‧連接結構
180‧‧‧端至端部分
190‧‧‧金屬線
200‧‧‧互連結構
220‧‧‧金屬線
222‧‧‧末端部分
230‧‧‧端至端部分
240‧‧‧金屬線
242‧‧‧末端部分
300‧‧‧互連結構
322‧‧‧末端部分
330‧‧‧端至端部分
342‧‧‧末端部分
350‧‧‧互連結構
380‧‧‧端至端部分
382‧‧‧拐角
384‧‧‧拐角
400A‧‧‧互連結構
400B‧‧‧互連結構
400C‧‧‧互連結構
400D‧‧‧互連結構
500‧‧‧互連結構
522‧‧‧連接結構
536‧‧‧額外部分
600‧‧‧布局
620‧‧‧金屬線
620A‧‧‧金屬線
620B‧‧‧金屬線
620C‧‧‧金屬線
620D‧‧‧金屬線
622‧‧‧末端部分
624A‧‧‧連接結構
624B‧‧‧連接結構
630‧‧‧形狀
630A‧‧‧端至端部分
630B‧‧‧端至端部分
630C‧‧‧端至端部分
630D‧‧‧端至端部分
640‧‧‧金屬線
640A‧‧‧金屬線
640B‧‧‧金屬線
640C‧‧‧金屬線
640D‧‧‧金屬線
642‧‧‧末端部分
710‧‧‧介電層
711‧‧‧介電層堆疊
712‧‧‧蝕刻停止層
714‧‧‧ILD層
715‧‧‧介電層堆疊
716‧‧‧蝕刻停止層
718‧‧‧金屬間介電質(IMD)層
720‧‧‧溝槽
730‧‧‧導通孔開口
800‧‧‧布局
820‧‧‧金屬線
820A‧‧‧金屬線
820B‧‧‧金屬線
822‧‧‧末端部分
830‧‧‧聯合
830A‧‧‧端至端部分
830B‧‧‧端至端部分
831‧‧‧形狀
831A‧‧‧形狀
831B‧‧‧形狀
833A‧‧‧形狀
833B‧‧‧形狀
840‧‧‧金屬線
840A‧‧‧金屬線
840B‧‧‧金屬線
842‧‧‧末端部分
900‧‧‧橫截面圖
901‧‧‧橫截面圖
902‧‧‧橫截面圖
903‧‧‧橫截面圖
905‧‧‧橫截面圖
910‧‧‧介電層
911‧‧‧介電層堆疊
912‧‧‧蝕刻停止層
914‧‧‧ILD層
916‧‧‧硬遮罩層
930‧‧‧導通孔開口
931‧‧‧開口
933‧‧‧開口
1000‧‧‧互連結構
1002‧‧‧鑲嵌結構
1004‧‧‧雙鑲嵌互連結構
1022‧‧‧末端部分
1024‧‧‧連接結構
1025‧‧‧導通孔
1030‧‧‧端至端部分
1030A‧‧‧擴散阻障層
1030B‧‧‧導電層
1035‧‧‧導通孔
1042‧‧‧末端部分
1050‧‧‧互連結構
1074‧‧‧連接結構
1075‧‧‧導通孔
1080‧‧‧端至端部分
1085‧‧‧導通孔
1100‧‧‧互連結構
1120‧‧‧金屬線
1122‧‧‧末端部分
1125‧‧‧導通孔
1135‧‧‧導通孔
1140‧‧‧金屬線
1142‧‧‧末端部分
1300A‧‧‧互連結構
1300B‧‧‧互連結構
1400‧‧‧布局
1422‧‧‧末端部分
1425‧‧‧導通孔
1425C‧‧‧導通孔
1425D‧‧‧導通孔
1426‧‧‧金屬線
1427‧‧‧切割線
1428‧‧‧切割線
1430‧‧‧金屬線之部分
1430C‧‧‧端至端部分
1430D‧‧‧端至端部分
1435‧‧‧導通孔
1435C‧‧‧導通孔
1435D‧‧‧導通孔
1442‧‧‧末端部分
1510‧‧‧介電層
1512‧‧‧介電層
1514‧‧‧介電層
1516‧‧‧硬遮罩層
1526‧‧‧開口
1527‧‧‧塊結構
1528‧‧‧塊結構
1530‧‧‧溝槽
1535A‧‧‧開口
1535B‧‧‧導通孔開口
1600‧‧‧互連結構
1700‧‧‧互連結構
1800‧‧‧SRAM巨集
1802‧‧‧字線解碼電路
1804‧‧‧IO電路
1806‧‧‧電路
2000‧‧‧布局
2001‧‧‧基板
2002‧‧‧布局
2003‧‧‧介電隔離結構
2014‧‧‧鰭片結構
2016‧‧‧鰭片結構
2018‧‧‧鰭片結構
2020‧‧‧鰭片結構
2022‧‧‧閘極結構
2024‧‧‧閘極結構
2026‧‧‧閘極結構
2028‧‧‧閘極結構
2030‧‧‧源極或汲極觸點
2032‧‧‧導通孔
2034‧‧‧源極或汲極觸點
2036‧‧‧閘極觸點
2038‧‧‧源極或汲極觸點
2040‧‧‧導通孔
2042‧‧‧源極或汲極觸點
2044‧‧‧導通孔
2046‧‧‧閘極觸點
2048‧‧‧導通孔
2050‧‧‧源極或汲極觸點
2052‧‧‧導通孔
2054‧‧‧源極或汲極觸點
2056‧‧‧閘極觸點
2058‧‧‧源極或汲極觸點
2060‧‧‧導通孔
2062‧‧‧源極或汲極觸點
2064‧‧‧導通孔
2066‧‧‧閘極觸點
2068‧‧‧導通孔
2070‧‧‧金屬線
2072‧‧‧著陸襯墊
2074‧‧‧著陸襯墊
2075‧‧‧導通孔
2076‧‧‧著陸襯墊
2078‧‧‧著陸襯墊
2080‧‧‧端至端部分
2082‧‧‧導通孔
2084‧‧‧導通孔
2085‧‧‧導通孔
2086‧‧‧導通孔
2090‧‧‧金屬線
2092‧‧‧金屬線
2094‧‧‧金屬線
2096‧‧‧金屬線
2098‧‧‧導通孔
2100‧‧‧導通孔
2102‧‧‧金屬線
2200A‧‧‧布局
2200B‧‧‧布局
2200C‧‧‧布局
2200D‧‧‧布局
2202‧‧‧橫截面
2204‧‧‧FEOL部分
2206‧‧‧BEOL部分
2220A‧‧‧金屬線
2220B‧‧‧金屬線
2230A‧‧‧端至端部分
2230B‧‧‧端至端部分
2240A‧‧‧金屬線
2240B‧‧‧金屬線
2400‧‧‧布局
2500‧‧‧布局
2502‧‧‧橫截面
2504‧‧‧FEOL部分
2506‧‧‧BEOL部分
2536A‧‧‧額外部分
2536B‧‧‧額外部分
2700‧‧‧布局
2701‧‧‧基板
2702‧‧‧布局
2703‧‧‧介電隔離結構
2715‧‧‧鰭片結構
2717‧‧‧鰭片結構
2719‧‧‧鰭片結構
2721‧‧‧鰭片結構
2722‧‧‧閘極結構
2724‧‧‧閘極結構
2726‧‧‧閘極結構
2728‧‧‧閘極結構
2734‧‧‧源極或汲極觸點
2738‧‧‧觸點
2740‧‧‧導通孔
2742‧‧‧源極或汲極觸點
2744‧‧‧導通孔
2746‧‧‧閘極觸點
2748‧‧‧導通孔
2754‧‧‧觸點
2758‧‧‧觸點
2760‧‧‧導通孔
2762‧‧‧觸點
2764‧‧‧導通孔
2766‧‧‧閘極觸點
2768‧‧‧導通孔
2772‧‧‧著陸襯墊
2774‧‧‧金屬線
2776‧‧‧金屬線
2778‧‧‧著陸襯墊
2782‧‧‧導通孔
2784‧‧‧導通孔
2786‧‧‧導通孔
2788‧‧‧導通孔
2792‧‧‧金屬線
2796‧‧‧金屬線
2798‧‧‧導通孔
2800‧‧‧金屬線
2900‧‧‧布局
2902‧‧‧橫截面
2904‧‧‧FEOL部分
2906‧‧‧BEOL部分
3100‧‧‧布局
3102‧‧‧橫截面
3104‧‧‧FEOL部分
3106‧‧‧BEOL部分
3200‧‧‧實際布局
3226‧‧‧金屬線
3227‧‧‧切割線
3228‧‧‧切割線
3229‧‧‧切割線
3404‧‧‧IO電路
3406‧‧‧電路
3408‧‧‧部分
3410‧‧‧部分
3500‧‧‧布局
3502‧‧‧布局
3504‧‧‧鰭片結構
3506‧‧‧鰭片結構
3508‧‧‧鰭片結構
3510‧‧‧閘極結構
3512‧‧‧觸點
3514‧‧‧導通孔
3516‧‧‧觸點
3518‧‧‧觸點
3520‧‧‧導通孔
3522‧‧‧觸點
3524‧‧‧導通孔
3526‧‧‧閘極結構
3600‧‧‧布局
3602‧‧‧布局
3620‧‧‧金屬線
3630‧‧‧端至端部分
3640‧‧‧金屬線
3700‧‧‧布局
3702‧‧‧布局
3720‧‧‧金屬線
BL1-BL4‧‧‧位元線
BLB1-BLB4‧‧‧互補位元線
BLEQB‧‧‧控制線
BLPC‧‧‧控制線
DL‧‧‧輸出資料線
DLB‧‧‧互補輸出資料線
M1‧‧‧金屬層
M2‧‧‧金屬層
M3‧‧‧金屬層
P1‧‧‧圖案化層
P2‧‧‧圖案化層
PD1‧‧‧N-FET
PD2‧‧‧N-FET
PG1‧‧‧N-FET
PG2‧‧‧N-FET
PU1‧‧‧P型場效應電晶體(P-FET)
PU2‧‧‧P_FET
Q1‧‧‧P-FET
Q2‧‧‧P-FET
Q3‧‧‧P-FET
Q4‧‧‧P-FET
Q5‧‧‧N-FET
Q6‧‧‧P-FET
Q7‧‧‧N-FET
Q8‧‧‧P-FET
RBL‧‧‧讀取位元線
RPD1‧‧‧N-FET
RPG1‧‧‧N-FET
RWL‧‧‧讀取字線
SC11-SC84‧‧‧陣列單元
SCDP‧‧‧雙端口SRAM單元
SN‧‧‧儲存節點
SNB‧‧‧互補儲存節點
Via0‧‧‧導通孔層
Via1‧‧‧導通孔層
WC‧‧‧互補輸入資料線
WL1-WL8‧‧‧字線
WT‧‧‧輸入資料線
當結合附圖閱讀時,自以下實施方式最好地理解本發明之各方面。應注意,根據業界中之標準慣例,各種特徵未按比例繪製。事實上,為了論述清楚起見,可任意增加或減小各種特徵之尺寸。
圖1A為根據一些實施例的具有未對準金屬線且使用下方互連層耦接之互連結構之透視圖。
圖1B為根據一些實施例的具有對準金屬線且使用下方互連層耦接之另一互連結構之透視圖。
圖2A為根據一些實施例的圖1A中所示之互連結構之俯視圖,其具有兩次彎曲且實質上非加寬之端至端部分。
圖2B為根據一些實施例的具有兩次彎曲且加寬之端至端部分之另一互連結構之俯視圖。
圖2C為根據一些實施例的具有實質上矩形端至端部分之另一互 連結構之俯視圖。
圖2D為根據一些實施例的具有一次彎曲且實質上加寬之端至端部分之另一互連結構之俯視圖。
圖3為根據一些實施例的沿著圖1A中所示之線A-A'之互連結構之橫截面圖。
圖4A為根據一些實施例的如圖2A中所示之具有兩次彎曲且實質上非加寬之端至端部分的互連結構之俯視圖,該端至端部分延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端。
圖4B為根據一些實施例的如圖2B中所示之具有兩次彎曲且加寬之端至端部分的另一互連結構之俯視圖,該端至端部分實質上未延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端。
圖4C為根據一些實施例的如圖2C中所示之具有實質上矩形端至端部分之另一互連結構之俯視圖,該端至端部分實質上未延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端。
圖4D為根據一些實施例的如圖2D中所示之具有一次彎曲且實質上加寬之端至端部分的另一互連結構之俯視圖,該端至端部分實質上未延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端。
圖5為根據一些實施例的具有形成於下方且耦接至如圖1A中所示之端至端部分之額外部分的另一互連結構之透視圖。
圖6為根據一些實施例的用於形成圖1A中所示之互連結構之布局之圖。
圖7A至圖7E為根據一些實施例的說明用於使用圖6中所示之布局製造圖1A中所示之互連結構的單圖案化方法之橫截面圖。
圖8為根據一些實施例的用於形成圖2B中所示之互連結構之布局之圖。
圖9A至圖9C為根據一些實施例的說明用於使用圖8中所示之布局形成圖2B中所示之端至端部分的多圖案化方法之橫截面圖。
圖10A為根據一些實施例的具有使用上方互連層耦接之未對準金屬線(與圖1A中所示之彼等相同)的互連結構之透視圖。
圖10B為根據一些實施例的具有使用上方互連層耦接之對準金屬線(與圖1B中所示之彼等相同)的另一互連結構之透視圖。
圖11A為根據一些實施例的具有實質上非加寬之導通孔之圖10A中所示的互連結構之俯視圖。
圖11B為根據一些實施例的具有加寬之導通孔之另一互連結構之俯視圖。
圖12為根據一些實施例的圖10A中所示之沿著線F-F截取的互連結構之橫截面圖。
圖13A為根據一些實施例的具有如圖11A中所示之非加寬之導通孔的互連結構之俯視圖,導通孔耦接至實質上不延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端之對應末端部分。
圖13B為根據一些實施例的具有如圖11B中所示之加寬之導通孔的另一互連結構之俯視圖,導通孔耦接至延伸超出鄰近於該端至端部分與其重疊之對應金屬線的金屬線之末端之對應末端部分。
圖14為根據一些實施例的用於形成圖10A中所示之互連結構之布局之圖。
圖15A至圖15D為根據一些實施例的說明用於使用圖14中所示之布局製造圖10A中所示的互連結構之方法之橫截面圖。
圖16為根據一些實施例的包括圖1A中所示之互連結構以及鄰近於該互連結構形成之圖10B中所示之互連結構的互連結構之透視圖。
圖17為根據一些實施例的包括圖10A中所示之互連結構以及鄰近於該互連結構形成之圖1B中所示之互連結構的互連結構之透視圖。
圖18為根據一些實施例的半導體晶片中之SRAM巨集之方塊圖。
圖19為根據一些實施例的圖18中所示之電路之電路圖。
圖20為根據一些實施例的包括圖23中所示之FEOL部分中的陣列單元之層以及BEOL部分中的陣列單元之導通孔層via0之布局之圖。
圖21為根據一些實施例的包括圖23中之BEOL部分中的陣列單元之層以及圖23中所示之橫截面中不可見的陣列單元之金屬層M3之布局之圖。
圖22A為根據一些實施例的包括圖23中所示之BEOL部分中之直至金屬層M1的電路之層之布局之圖。
圖22B為根據一些實施例的包括圖23中所示之BEOL部分中之電路之直至金屬層M1的層之另一布局之圖。
圖22C為根據一些實施例的包括圖23中所示之BEOL部分中之電路之直至金屬層M1的層之另一布局之圖。
圖22D為根據一些實施例的包括圖23中所示之BEOL部分中之電路之直至金屬層M1的層之另一布局之圖。
圖23為根據一些實施例的對應於圖20中所示之線H-H'、圖21中所示之線I-I'及22A中所示之線J-J'截取的電路之橫截面之圖。
圖24為根據一些實施例的包括圖23中所示之BEOL部分中之直至金屬層M1的電路之層以及金屬層M2中之電路之端至端部分的層之布局之圖。
圖25為根據一些實施例的包括圖26中所示之BEOL部分中之直至金屬層M1的電路之層以及圖26中所示之FEOL部分中之接觸層中的額外部分之布局之圖。
圖26為根據一些實施例的對應於圖20中所示之線H-H'、圖21中所示之線I-I'及圖25中所示之線K-K'截取的電路之橫截面之圖。
圖27為根據一些實施例的包括圖30中所示之FEOL部分中的陣列 單元之層以及BEOL部分中的陣列單元之導通孔層via0之布局之圖。
圖28為根據一些實施例的包括圖30中之BEOL部分中的陣列單元之層以及圖30中所示之橫截面中不可見的陣列單元之金屬層M3之布局之圖。
圖29為根據一些實施例的包括圖30中所示之BEOL部分中之直至金屬層M1的電路之層之布局之圖。
圖30為根據一些實施例的對應於圖27中所示之線L-L'、圖28中所示之線M-M'及圖29中所示之線N-N'截取的電路之橫截面之圖。
圖31為根據一些實施例的包括圖33中所示之BEOL部分中之直至金屬層M2的電路之層之有效布局之圖。
圖32為根據一些實施例的自其獲得圖31中所示之有效布局的實際布局之圖。
圖33為根據一些實施例的對應於圖27中所示之線L-L'、圖28中所示之線M-M'及圖31中所示之線O-O'截取的電路之橫截面之圖。
圖34為根據一些實施例的包括雙端口SRAM單元及IO電路之對應部分的電路之電路圖。
圖35為根據一些實施例的包括圖30中所示之FEOL部分中的陣列單元之層以及圖30中所示之BEOL部分中的陣列單元之導通孔層via0之布局之圖。
圖36為根據一些實施例的圖34中所示之電路之布局之圖。
圖37為根據其他實施例的圖34中所示之電路之布局之圖。
以下揭示內容提供用於實施所提供之標的物之不同特徵的許多不同實施例或實例。下文描述元件及配置之具體實例以簡化本發明。當然,此等僅為實例且並不希望為限制性。例如,在以下描述中,第一特徵在第二特徵上方或上之形成可包括第一特徵及第二特徵直接接 觸地形成之實施例,且亦可包括額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸之實施例。另外,本發明可在各種實例中重複參考標號及/或字母。此重複係出於簡單及清楚之目的,且本身並不指示所論述之各種實施例及/或組態之間的關係。貫穿本發明用相同參考標號標註相同元件。
在一些實施例中,片語「A及/或B」用以描述包括僅A、僅B以及A及B之集合。
在一些實施例中,諸如「X方向」及「Y方向」、「與X方向相反之方向」及「Y方向」、「X方向」及「與Y方向相反之方向」以及「與X方向相反之方向」及「與Y方向相反之方向」、「開始」及「結束」、「後方」及「超過」、「上方」及「下方」及類似術語等空間相對術語可為了描述方便而用來描述如圖式中所說明之一個元件或一個特徵與另一元件或另一特徵之關係。除圖中所描繪之定向之外,空間上相對之術語意圖涵蓋在使用或操作中之器件之不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用之空間相對描述詞同樣可相應地進行解釋。
在一些實施例中,當一元件稱為「連接至」或「耦接至」另一元件時,其可直接連接至或耦接至另一元件,或可存在介入元件。
具有使用下方層耦接之未對準金屬線之互連結構
隨著半導體晶片中的金屬線之寬度持續收縮諸如達到10nm或10nm以下,更具限制性之佈線規則應用於晶片設計以適應其中由於現有微影工具之侷限性而產生金屬線圖案化中之困難之情形。此些限制性佈線規則之實例為單向佈線規則以及一些金屬層中的金屬線之固定間距環境。在此等限制性佈線規則下,金屬層中之金屬線中不允許彎曲或微動。因此,如其他方法中在柵格上佈設金屬線之一部分、彎曲金屬線以及不在柵格上佈設金屬線之另一部分的晶片面積減少之策略 無法採用。為了補救此些限制,在一些實施例中,第一互連層中之未對準金屬線,即在柵格上佈設的金屬線之一部分及不在柵格上佈設的金屬線之另一部分,通過連接結構連接,該連接結構包括第一互連層下方之第二互連層中之端至端部分。該連接結構為單鑲嵌結構,並且因此與經受第一互連層之限制性佈線規則的未對準金屬線分開地形成。
在一些實施例中,術語「佈線」指代形成對應於網表之布局中的階段,其中給定單元之放置、網表及技術資訊,以最佳化目標確定用以連接該單元之必要接線,該最佳化目標諸如在諸如設計規則及佈線資源等約束下最小化總導線長度。
圖1A為根據一些實施例的具有使用下方互連層耦接之未對準金屬線120及140之互連結構100之透視圖。圖1A說明未對準金屬線120及140之連接結構124,其在金屬線120及140駐留於其中的金屬層M1下方之導通孔層via0中包括端至端部分130。在一些實施例中,互連結構100包括金屬線120及140以及將金屬線120耦接至金屬線140之連接結構124。金屬線120形成於金屬層M1中且在長度中實質上沿著Y方向延伸。金屬線140亦形成於與金屬線120相同之互連層中且在長度中實質上沿著Y方向延伸。金屬線120沿著Y方向與金屬線140未對準。換句話說,金屬線140相對於金屬線120沿著實質上正交於Y方向之X方向移位。連接結構124包括端至端部分130。端至端部分130形成於金屬層M1下方之導通孔層via0中,且與金屬線120及140重疊。
在一些實施例中,針對第一方向及第二方向之術語「實質上沿著」、「實質上平行」或「實質上正交」指自參考方向在例如5度、10度及15度等偏差角度內之第一方向。對於「實質上沿著」或「實質上平行」,參考方向為第二方向,且對於「實質上正交」,參考方向與第二方向成90度。確定第一方向「實質上沿著」、「實質上平行」或「實 質上正交」於第二方向之其他方式在本發明之預期範疇內。舉例而言,第一方向自第一參考方向之偏差角度與第二方向自第二參考方向之偏差角度的比率大於例如85%、90%及95%等百分比。對於「實質上沿著」或「實質上平行」,第一參考方向與第二參考方向相同,且對於「實質上正交」,第一參考方向與第二參考方向成90度。對於另一實例,第一方向自第一參考方向之偏差角度與第二方向自第二參考方向之偏差角度之間的差小於第二方向自第二參考方向之偏差角度之諸如5%、10%及15%等百分比。
圖1B為根據一些實施例的具有使用下方互連層耦接之對準金屬線170及190之另一互連結構150之透視圖。類似於圖1A中之金屬線120及140,金屬線170及190在長度中實質上沿著Y方向延伸。與圖1A中所示之互連結構100相比,形成於金屬層M1中之金屬線170及190在Y方向中彼此對準。互連結構150包括金屬線170及190以及將金屬線170賴合至金屬線190之連接結構174。類似於參考圖1A描述之連接結構124,連接結構174包括形成於金屬層M1下方之導通孔層via0中之端至端部分190,且與金屬線170及190重疊。
形成於金屬層M1中之互連結構100或150及導通孔層via0為例示性的。形成於其他互連層中之互連結構,例如形成於金屬層M2及導通孔層via1中之互連結構、形成於金屬層M3及導通孔層via2中之互連結構、形成於金屬層M4及導通孔層via3中之互連結構等,在本發明之預期範疇內。
圖2A為根據一些實施例的圖1A中所示之互連結構100之俯視圖,其具有兩次彎曲且實質上非加寬之端至端部分130。圖2A說明與金屬線120之末端部分122及金屬線140之末端部分142重疊且具有兩次彎曲形狀之端至端部分130。在一些實施例中,金屬線120在長度中實質上沿著Y方向延伸且在末端部分122處結束。金屬線140在末端部分142 處開始且在長度中實質上沿著Y方向延伸。端至端部分130與末端部分122及末端部分142重疊。此外,在一些實施例中,端至端部分130具有兩次彎曲形狀,其實質上沿著Y方向延行以與末端部分122重疊,彎曲成實質上沿著X方向延行且再次彎曲成實質上沿著Y方向延行以與末端部分142重疊。因此,端至端部分130具有至少拐角132、拐角134及拐角136。拐角132自實質上沿著Y方向彎曲至實質上沿著X方向,或等效地自實質上沿著與X方向相反之方向彎曲至與Y方向相反之方向。拐角134自實質上沿著Y方向彎曲至實質上沿著X方向。拐角136自實質上沿著X方向彎曲至實質上沿著Y方向。拐角132之等效描述亦適用於拐角134及136。
在一些實施例中,端至端部分130與末端部分122接觸處的端至端部分130之寬度W13實質上不比末端部分122之寬度W12寬,以避免干擾諸如導通孔層via0等同一互連層中之其他導通孔。類似地,端至端部分130與末端部分142接觸處的端至端部分130之寬度W13實質上不比末端部分142之寬度W14寬。
在一些實施例中,金屬線120之末端部分122及金屬線140之末端部分142具有均勻寬度。因此,寬度W12及寬度W14為均勻寬度。在其他實施例中,金屬線120之末端部分122及金屬線140之末端部分142具有不均勻寬度,諸如用於錐形線末端之彼等寬度。因此,寬度W12及寬度W14中之每一者為各別末端部分122或142之最大寬度。貫穿本發明可相似地使用末端部分122之寬度W12經界定的方式。
在一些實施例中,片語「在端至端部分130與末端部分122重疊處之端至端部分130」指端至端部分130的自沿著Y方向之末端部分122之頂部延伸至沿著Y方向之末端部分122之底部的一部分。其中「在……處」用以界定端至端部分130之一部分之以上片語可貫穿本發明相似地使用。
在一些實施例中,端至端部分130與末端部分122及末端部分142重疊處之端至端部分130具有均勻寬度。因此,寬度W13為均勻寬度。在其他實施例中,端至端部分130與末端部分122及末端部分142重疊處之端至端部分130具有不均勻寬度。因此,寬度W13為端至端部分130與末端部分122或末端部分142重疊處之最大寬度。端至端部分130與末端部分122重疊處的端至端部分130之寬度W13經界定之方式可貫穿本發明相似地使用。
在圖2A中所示之實施例中,端至端部分130在端至端部分130與末端部分122及末端部分142重疊處具有相同寬度W13。例如在端至端部分130與末端部分122及末端部分142重疊處具有不同寬度之端至端部分130等其他實施例在本發明之預期範疇內。
在一些實施例中,本文所使用之術語「實質上不更寬」指示第一寬度比第二寬度寬不超過第一寬度之諸如5%、10%及15%等百分比。確定第一寬度「實質上不寬於」第二寬度之其他方式在本發明之預期範疇內。舉例而言,第二寬度與第一寬度之比率大於例如85%、90%或95%等百分比。對於另一實例,第一寬度與第二寬度之差小於根據設計規範預定之數。
在參考圖2A描述之實施例中,端至端部分130彎曲兩次以自與末端部分122重疊轉變為與末端部分142重疊。彎曲超過兩次(例如四次、六次)之端至端部分130在本發明之預期範疇內。
圖2B為根據一些實施例的具有兩次彎曲且加寬之端至端部分230之另一互連結構200之俯視圖。互連結構200包括金屬線220、金屬線240及端至端部分230,對應於參考圖2A描述之對應金屬線120、金屬線140及端至端部分130。在端至端部分230與對應金屬線220及240重疊處金屬線220具有末端部分222且金屬線240具有末端部分242。末端部分222及242對應於參考圖2A描述之對應末端部分 122及142。與金屬線120及140相比,金屬線220及240進一步朝向彼此延伸。與端至端部分130相比,端至端部分230在端至端部分230與末端部分222接觸處具有比末端部分222之寬度W22寬之寬度W23,及/或在端至端部分230與末端部分242接觸處具有比末端部分242之寬度W24寬之寬度W23。在一些實施例中,寬度W23比寬度W22及/或寬度W24寬等於大約30%之第一因數以減少端至端部分230之電阻。考慮由製程變化引入之寬度W23及W22及/或寬度W23及W24之變化,第一因數之下限高於大約10%以使得寬度W23比寬度W22及/或寬度W24寬。設定第一因數之上限以使得與導通孔層via0中之鄰近結構維持最小間距。
在圖2B中所示之實施例中,端至端部分230在端至端部分230與末端部分222及末端部分242重疊處具有相同寬度W23。諸如在端至端部分230與末端部分222及末端部分242重疊處具有不同寬度之端至端部分230等其他實施例在本發明之預期範疇內。
在一些實施例中,術語「大約」指第一數字與該第一數字大約等於之第二數字之間的絕對差在該第二數字之例如5%、10%或15%等百分比內。確定第一數字等於「大約」第二數字之其他方式在本發明之預期範疇內。舉例而言,第一數字及第二數字中之較小者與第一數字及第二數字之間的較大者之比率大於諸如85%、90%及95%等百分比。對於另一實例,第一數字與第二數字之間的絕對差小於根據設計規範預定之數。
圖2C為根據一些實施例的具有實質上矩形端至端部分330之另一互連結構300之俯視圖。互連結構300中標註有與互連結構200中之元件之參考標號相同參考標號之元件與圖2B中所示的互連結構200中之元件相同。金屬線220具有末端部分322,且金屬線240具有末端部分342。末端部分322及342對應於參考圖2B描述之對應末端部分222及 242。與參考圖2B描述之互連結構200相比,互連結構300包括具有實質上矩形形狀而不為兩次彎曲形狀之端至端部分330。在一些實施例中,具有實質上矩形形狀之端至端部分330具有跨越末端部分322之寬度W22及末端部分324之寬度W24的寬度W33。在一些實施例中,寬度W22與寬度W24重疊,並且因此跨越寬度W22及W24之寬度W33至少為寬度W22及W24之總和減去寬度W22及W24之重疊部分。在其他實施例中,寬度W22未與寬度W24重疊,並且因此跨越寬度W22及W24之寬度W33為寬度W22及W24以及寬度W22與W24之間的非重疊部分之寬度之總和。在其他實施例中,端至端部分330在其與金屬線220及240重疊處較寬。此外,端至端部分330未延伸如同端至端部分230實質上沿著與Y方向相反之方向及Y方向延伸那樣遠。因此,與參考圖2B描述之末端部分222及242相比,末端部分322及342具有較小面積。
在一些實施例中,術語「實質上矩形形狀」或「實質上矩形」指代該形狀之相對側「實質上平行」於彼此。另外,該形狀之拐角為尖銳的或圓化的。
圖2D為根據一些實施例的具有一次彎曲且實質上加寬之端至端部分380之另一互連結構350之俯視圖。互連結構350中標註有與圖2C中所示的互連結構300中之元件之參考標號相同參考標號之元件與互連結構300中的元件相同。與參考圖2C描述之互連結構300相比,互連結構350包括具有一次彎曲形狀之端至端部分380,其實質上沿著Y方向延行且彎曲成實質上沿著X方向延行。在一些實施例中,該一次彎曲形狀具有至少拐角382及拐角384。類似於端至端部分130之兩次彎曲形狀之拐角132及134,該一次彎曲形狀之對應拐角382及384自實質上沿著Y方向彎曲至實質上沿著X方向。
在一些實施例中,實質上沿著Y方向延行之端至端部分380與末端部分222重疊,且實質上沿著X方向延行之端至端部分380與末端部 分342重疊。在一些實施例中,類似於圖2B中所示之端至端部分230之寬度W23及末端部分222之寬度W22,端至端部分380在端至端部分380與末端部分222重疊處之寬度W52比末端部分222之寬度W22寬第一因數。類似於圖2C中之端至端部分330之寬度W33,端至端部分380在端至端部分380與末端部分342重疊處之寬度W54跨越寬度W22及W24
如圖2D中所示之具有一次彎曲且實質上加寬之端至端部分380之互連結構350為例示性的。實施端至端部分之其他方式在本發明之預期範疇內。舉例而言,類似於圖2B中所示之寬度W23的加寬之寬度可在端至端部分與金屬線240之末端部分重疊處應用,且類似於圖2C中所示之端至端部分330之寬度W33的跨越對應金屬線220及240之寬度W22及W24之寬度可在端至端部分與金屬線220之末端部分重疊處應用。
圖3為根據一些實施例的沿著圖1A中所示之線A-A'之互連結構100之橫截面圖。圖3說明包括端至端部分130及在上方且與端至端部分130接觸之金屬線120之單鑲嵌互連結構102。端至端部分130亦被稱作單鑲嵌導通孔。將參考圖7A至7E描述單鑲嵌互連結構102之形成。端至端部分130包括擴散阻障層130A及導電層130B。擴散阻障層130A形成端至端部分130之側壁及底部。導電層130B填充由擴散阻障層130A包圍之空間。金屬線120包括擴散阻障層120A及導電層120B。擴散阻障層120A形成金屬線120之側壁及底部且導電層120B填充由擴散阻障層120A包圍之空間。在一些實施例中,在單鑲嵌互連結構102中,在金屬線120之底部之擴散阻障層120A與端至端部分130之導電層130B之頂部重疊。
圖4A為根據一些實施例的如圖2A中所示具有兩次彎曲且實質上非加寬之端至端部分130之互連結構400A之俯視圖,端至端部分130延伸超出鄰近於端至端部分130與其重疊的對應金屬線120及140之金 屬線170及190之末端。金屬線170及190之末端展示為與對應線154及156重合。互連結構400A包括參考圖2A描述之互連結構100及鄰近互連結構152。互連結構152中標註有與參考圖1B描述之互連結構150中的元件之參考標號相同參考標號之元件與互連結構150中的元件相同。在一些實施例中,金屬線170鄰近於金屬線120形成,且金屬線190鄰近於金屬線140形成。金屬線120及金屬線170沿著Y方向在實質上同一線154處結束。金屬線140及金屬線190沿著Y方向在實質上同一線156處開始。端至端部分130相對於線154沿著與Y方向相反之方向延伸超出線154而到達金屬線170之側面上之末端部分122,且相對於線156沿著Y方向延伸超出線156而到達金屬線190之側面上之末端部分142。在參考圖2A描述之一些實施例中,與對應末端部分122及142相比,端至端部分130未在端至端部分130與末端部分122及142接觸處實質上加寬,以避免干擾諸如導通孔層via0等同一互連層中之其他導通孔。
在一些實施例中,術語「實質上非加寬之」指第一形狀之第一寬度「實質上不寬於」第二形狀之第二寬度。
在一些實施例中,當第一金屬線與第二金屬線「實質上在同一線處」開始或結束時,第一金屬線在與第二金屬線之末端重合的線後方或超出該線之第一距離在平行於該第一距離之第一金屬線之最大長度的諸如5%、10%及15%等百分比內。確定第一金屬線與第二金屬線「實質上在同一線處」開始或結束之其他方式在本發明之預期範疇內。舉例而言,第一金屬線在該線後方或超出該線之第一距離與第二金屬線在該線後方或超出該線之第二距離之比率大於例如85%、90%及95%等百分比。對於另一實例,第一金屬線在該線後方或超出該線之第一距離與第二金屬線在該線後方或超出該線之第二距離之間的差小於根據設計規範預定之數。
圖4B為根據一些實施例的如圖2B中所示具有兩次彎曲且加寬之端至端部分230之另一互連結構400B之俯視圖,端至端部分230未實質上延伸超出鄰近於端至端部分230與其重疊的對應金屬線220及240之金屬線170及190之末端。金屬線170及190之末端展示為與對應線154及156重合。與參考圖4A描述之互連結構400A相比,互連結構400B包括參考圖2B描述之互連結構200而不為參考圖2A描述之互連結構100。與互連結構100之金屬線120相比,金屬線220在長度中實質上沿著Y方向延伸且超出金屬線170結束處之線154。與互連結構100之金屬線140相比,金屬線240實質上沿著Y方向在金屬線190開始處之線156後方開始,且實質上沿著Y方向延伸。與互連結構100之端至端部分130相比,端至端部分230沿著與Y方向相反之方向未實質上延伸超出線154,且沿著Y方向未實質上延伸超出線156。端至端部分230與相對於線154在金屬線170之相對側上之末端部分222重疊且與相對於線156在金屬線190之相對側上之末端部分242重疊。在不延伸超出線154及156之情況下,端至端部分230不鄰近於諸如導通孔層via0等同一互連層中之其他導通孔。當第一形狀不鄰近於同一互連層中之第二形狀時,第一形狀之邊緣中之任一者皆不面對第二形狀之任何邊緣。因此,在參考圖2B描述之一些實施例中,與對應末端部分222及242相比,端至端部分230在端至端部分230與末端部分222及242接觸處加寬。
在一些實施例中,針對一形狀之術語「未實質上延伸超出線」指該形狀之末端在該線後方或超出該線的第一距離在平行於該第一距離之該形狀之最大長度的諸如5%、10%及15%等百分比內。確定該形狀「未實質上延伸超出線」之其他方式在本發明之預期範疇內。舉例而言,該形狀之末端在該線後方或超出該線的第一距離小於根據設計規範預定之數。
圖4C為根據一些實施例的如圖2C中所示具有實質上矩形端至端部分330之另一互連結構400C之俯視圖,端至端部分330未實質上延伸超出鄰近於端至端部分330與其重疊之對應金屬線220及240之金屬線170及190之末端。金屬線170及190之末端展示為與對應線154及156重合。與參考圖4B描述之互連結構400B相比,互連結構400C包括參考圖2C描述之互連結構300而不為互連結構200。與具有兩次彎曲形狀之互連結構200之端至端部分230相比,互連結構300之端至端部分330具有實質上矩形形狀。在參考圖2C描述之一些實施例中,端至端部分330具有跨越金屬線220之寬度W22及金屬線240之寬度W24延伸之寬度W33。可獲得寬度W33,因為端至端部分330沿著與Y方向相反之方向未實質上延伸超出線154且沿著Y方向未實質上延伸超出線156。在一些實施例中,與端至端部分230相比,端至端部分330未延伸如同端至端部分230實質上沿著與Y方向相反之方向及Y方向延伸那樣遠,以避免觸碰金屬線170及190。
圖4D為根據一些實施例的如圖2D中所示具有一次彎曲且實質上加寬之端至端部分380之另一互連結構400D之俯視圖,端至端部分380未實質上延伸超出鄰近於端至端部分380與其重疊之對應金屬線220及240之金屬線170及190之末端。金屬線170及190之末端展示為與對應線154及156重合。與參考圖4C描述之互連結構400C相比,互連結構400D包括參考圖2D描述之互連結構350而不為參考圖2C描述之互連結構300。與具有實質上矩形形狀之互連結構300之端至端部分330相比,互連結構350之端至端部分380具有一次彎曲形狀。在參考圖2D描述之一些實施例中,端至端部分380在端至端部分380與末端部分222重疊處之寬度W52比末端部分222之寬度W22寬第一因數,且端至端部分380在端至端部分380與末端部分342重疊處之寬度W54跨越寬度W22及W24。類似於參考圖4B描述之實施例,端至端部分380可在端 至端部分380與末端部分222重疊處加寬,因為端至端部分380未延伸超出線154並且因此不鄰近於例如導通孔層via0等同一互連層中之其他導通孔。類似於參考圖4C描述之實施例,可獲得寬度W54,因為端至端部分380沿著Y方向未實質上延伸超出線156。類似地,端至端部分380僅延伸如同端至端部分330實質上沿著Y方向延伸那樣遠,以避免觸碰金屬線190。
如圖4D中所示的具有一次彎曲且實質上加寬之端至端部分380之互連結構400D為例示性的,該端至端部分380未實質上延伸超出鄰近於端至端部分380與其重疊之對應金屬線220及240之金屬線170及190之末端。實施未實質上延伸超出鄰近於端至端部分與其重疊的金屬線之金屬線之末端之端至端部分的其他方式在本發明之預期範疇內。舉例而言,類似於圖2D中所示的寬度W52之加寬之寬度可在端至端部分與金屬線240之末端部分重疊處應用,且類似於圖2D中所示之端至端部分380之寬度W54的跨越對應金屬線220及240之寬度W22及W24的寬度可在端至端部分與金屬線220之末端部分重疊處應用。
圖5為根據一些實施例的具有形成於下方且耦接至如圖1A中所示的端至端部分130之額外部分536之另一互連結構500之透視圖。與圖1A中所示之互連結構100之連接結構124相比,連接結構522進一步包括第一互連層中之額外部分536,該第一互連層例如第二互連層下方之接觸層,該第二互連層例如端至端部分130駐留於其中之導通孔層via0。此外,額外部分536與端至端部分130接觸。互連結構500中標註有與參考圖1A描述之互連結構100中的元件之參考標號相同參考標號之元件與互連結構100中之元件相同。在一些實施例中,額外部分536具有矩形形狀,其具有跨越金屬線120之寬度W12(在圖2A中標註)及金屬線140之寬度W14(在圖2A中標註)的寬度。額外部分536用以減小連接結構522之電阻。
此部分中之每一互連結構包括金屬線下方之連接結構且形成於金屬層下方之導通孔層集合中。舉例而言,該集合為金屬層M1下方之導通孔層via0。包括金屬線下方之連接結構且形成於另一金屬層下方之導通孔層集合中的其他互連結構在本發明之預期範疇內。舉例而言,該另一集合包括金屬層M2下方之導通孔層via1,以及金屬層M3下方之導通孔層via2等。此外,包括金屬線上方且形成於另一金屬層上方之導通孔層集合中之連接結構的其他互連結構在本發明之預期範疇內。舉例而言,該另一集合包括金屬層M1上方之導通孔層via1,及金屬層M2上方之導通孔層via2等。
用於形成具有使用下方層耦接之未對準金屬線的互連結構之方法
圖6為根據一些實施例的用於形成圖1A中所示的互連結構100之布局600之圖。圖6說明用於使用單圖案化形成圖1A中所示之端至端部分130之布局600。在一些實施例中,布局600包括金屬層M1及金屬層M1下方之導通孔層via0。金屬層M1包括金屬線620及金屬線640。金屬線620在長度中實質上沿著Y方向延伸且在末端部分622處結束。金屬線640自末端部分642開始且在長度中實質上沿著Y方向延伸。金屬線640在Y方向中與金屬線620未對準。
如圖6中所示的包括金屬層M1及導通孔層via0之布局600為例示性的。包括其他互連層之布局在本發明之預期範疇內,例如包括金屬層M2及導通孔層via1之布局、包括金屬層M3及導通孔層via2之布局以及包括金屬層M4及導通孔層via3之布局等。
在一些實施例中,導通孔層via0包括與末端部分622及末端部分642重疊之形狀630。形狀630為兩次彎曲形狀,其使用單圖案化形成圖2A中所示之端至端部分130。形狀630與末端部分622及末端部分642兩者重疊。己參考圖2A描述該兩次彎曲形狀。用於形成具有對應加寬之兩次及一次彎曲形狀及矩形形狀的互連結構200及300之布局在 本發明之預期範疇內。
圖7A至圖7E為根據一些實施例的說明用於使用圖6中之布局600製造圖1中之互連結構100的單圖案化方法之橫截面圖。圖7A至圖7E為對應於布局600中之線B-B'截取之橫截面圖。由於形狀630使用單圖案化形成圖2A中之端至端部分130,因此對應於布局600中之線C-C'截取之橫截面圖與對應於布局600中之線B-B'截取之橫截面圖實質上對稱對應。圖7A至圖7E中標註有與互連結構100中之元件之參考標號相同參考標號的元件與互連結構100中之元件相同。
參見圖7A,在一些實施例中,提供介電層710以充當用於介電層堆疊711之基底,其中將形成對應於圖6中之形狀630之導通孔開口730。在一些實施例中,介電層710形成於器件在其中及/或在其上形成之基板(未圖示)上方。在一些實施例中,介電層710包括介電層堆疊,例如堆疊於蝕刻停止層上方之ILD層,如相對於ILD層714及蝕刻停止層712將描述。
在一些實施例中,包括蝕刻停止層712及ILD層714之介電層堆疊711形成於介電層710上方。在一些實施例中,當ILD層714正結構化時蝕刻停止層712用以保護下伏結構。在一些實施例中,蝕刻停止層712包括碳化矽、氮化矽、氮氧化矽或其他合適之材料。在一些實施例中,ILD層714包括用於減少層內或層間寄生電容之一或多個低k介電質材料。例示性低k介電質材料包括氧化矽、硼磷矽酸鹽玻璃(BPSG)、原矽酸四乙酯(TEOS)、旋塗玻璃(SOG)、未摻雜矽酸鹽玻璃(USG)、有機矽酸鹽玻璃(OSG)、氟化矽酸鹽玻璃(FSG)、高密度電漿(HDP)氧化物、電漿增強TEOS(PETEOS)。在一些實施例中,使用例如化學氣相沈積(CVD)、電漿增強化學氣相沈積(PECVD)、高密度電漿化學氣相沈積(HDP-CVD)及大氣壓化學氣相沈積(APCVD)沈積蝕刻停止層712及ILD層714。
在一些實施例中,對應於圖6中之形狀630之導通孔開口730形成於介電層堆疊711中。在一些實施例中,通過首先採用光微影技術產生具有對應於圖6中之形狀630之開口的圖案且接著將該圖案轉印至介電層堆疊711來形成導通孔開口730。在圖案之轉印期間,通過使用例如反應性離子蝕刻(RIE)蝕刻ILD層714。在一些實施例中,使用選自由CF4、CHF3、CH2F2、C4F8、C5F8及C4F6組成之群組之製程化學方法蝕刻ILD層714,且將其與選自由Ar、O2、N2、CO及He組成之群組之周圍氣體混合物組合。在一些實施例中,蝕刻停止層712在ILD層714經蝕刻之後暴露,且因此使用例如離子轟擊而移除。在一些實施例中,轟擊離子包括氬離子。
參見圖7B,在一些實施例中,參考圖3描述之擴散阻障層130A保形地沈積在圖7A中之導通孔開口730之側壁及底部上。在一些實施例中,擴散阻障層130A包括鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)或此等材料之多個層。在一些實施例中,使用例如濺鍍來沈積擴散阻障層130A。
在一些實施例中,沈積參考圖3描述之導電層130B以填充導通孔開口730之剩餘空間。在一些實施例中,導電層130B包括銅。為了以例如銅填充導通孔開口730,在擴散阻障層130A上方沈積銅晶種層。隨後,執行銅電鍍處理以填充導通孔開口730。在一些實施例中,填充導通孔開口730以使得銅超過介電層堆疊711之頂部表面。
在一些實施例中,執行平坦化製程以移除超出介電層堆疊711之頂部表面之銅。在一些實施例中,該平坦化製程為化學機械拋光(CMP)製程。因此,單鑲嵌互連結構102(圖3中所示)之端至端部分130形成於導通孔開口730中。
參見圖7C,其中將形成對應於圖6中的金屬線620之溝槽720(圖7D中所示)之介電層堆疊715形成於介電層堆疊711及端至端部分130上 方。介電層堆疊715包括蝕刻停止層716及金屬間介電質(IMD)層718。蝕刻停止層716及IMD層718之例示性材料及沈積方法已經相對於圖7A中之對應蝕刻停止層712及ILD層714描述。
參見圖7D,對應於圖6中之金屬線620的溝槽720形成於介電層堆疊715中。溝槽720暴露端至端部分130的頂部表面之一部分。在一些實施例中,通過首先採用光微影技術產生對應於圖6中之金屬線620之圖案且隨後將該圖案轉印至介電層堆疊715來形成溝槽720。用於將圖案轉印至介電層堆疊715以形成溝槽720之例示性方法己經相對於圖7A中之導通孔開口730之形成而提供。
參見圖7E,參考圖3描述之擴散阻障層120A保形地沈積在圖7D中之溝槽720之側壁及底部上。沈積且平坦化參考圖3描述之導電層120B以填充溝槽720之剩餘空間。擴散阻障層120A及導電層120B之例示性材料及形成方法已經相對於圖7B中之對應擴散阻障層130A及導電層130B描述。因此,單鑲嵌互連結構102之金屬線120形成於溝槽720中。在一些實施例中,在溝槽720之底部之擴散阻障層120A與圖7A中所示之導通孔開口730中之導電層120B之頂部表面重疊且與其接觸。
圖8為根據一些實施例的用於形成圖2B中所示之互連結構200之布局800之圖。與圖6中之布局600相比,布局800用以使用多圖案化形成圖2B中之端至端部分230。在一些實施例中,布局800包括金屬層M1及金屬層M1下方之導通孔層via0。布局800之金屬層M1包括金屬線820及金屬線840。與金屬線620及640相比,金屬線820及840進一步朝向彼此延伸,類似於參考圖2B描述之金屬線220及240。
如圖8中所示的包括金屬層M1及導通孔層via0之布局800為例示性的。包括諸如金屬層M2及導通孔層via1、金屬層M3及導通孔層via2、金屬層M4及導通孔層via3等其他互連層之布局800在本發明之 預期範疇內。
在一些實施例中,布局800之導通孔層via0包括用於多圖案化之圖案化層P1及P2。圖案化層P1包括與金屬線820之末端部分822重疊之形狀831。圖案化層P2包括與金屬線840之末端部分842重疊之形狀833。
在一些實施例中,如圖8中所示,形狀831及833之聯合830為兩次彎曲形狀。在一些實施例中,形狀831不與末端部分842重疊,且形狀833不與末端部分822重疊。在其他實施例中(未圖示),形狀831部分地與末端部分842重疊但在與Y方向相反之方向中未延伸至形狀833開始處。形狀833部分地與末端部分822重疊但在Y方向中未延伸至形狀831開始處。
在其他實施例中(未圖示),該聯合830為實質上矩形形狀。在一些實施例中,形狀831部分地與末端部分842重疊且在與Y方向相反之方向中延伸至形狀833開始處。形狀833部分地與末端部分822重疊且在Y方向中延伸至形狀831開始處。
圖9A至圖9C為根據一些實施例的說明用於使用圖8中所示之布局800形成圖2B中所示之端至端部分230的多圖案化方法之橫截面圖。在對應圖9A至圖9C之頂部部分展本之橫截面圖900及902為對應於布局800中之線D-D'而截取。在對應圖9A至圖9C之底部部分展示的橫截面圖901、903及905為對應於布局800中之線E-E'而截取。與圖7A中所示之具有實質上沿著圖6中所示之對應線B-B'及C-C'的使用單圖案化形成之部分之導通孔開口730相比,圖9C中所示之導通孔開口930具有實質上沿著圖8中所示之對應線D-D'及E-E'之使用多圖案化形成的部分。
參見圖9A,在一些實施例中,提供介電層910以充當用於介電層堆疊911之基底,其中將形成對應於圖8中所示之形狀831及833之聯合 830的將參考圖9C描述之導通孔開口930。介電層堆疊911包括形成於介電層910上方之蝕刻停止層912及ILD層914。用於形成介電層910、蝕刻停止層912及ILD層914之例示性材料及方法已經針對圖7A中所示之類似元件710、712及714提供。
在一些實施例中,將根據形狀831及833圖案化之硬遮罩層916形成於介電層堆疊911上方。通過採用光微影技術,將硬遮罩層916圖案化有如橫截面圖900中所示之對應於圖8中所示之形狀831的開口931。同時,硬遮罩層916未經圖案化有如橫截面圖901中所示之對應於圖8中所示之形狀833的開口933(圖9B中所示)。選擇硬遮罩層916之一或多個材料以使得硬遮罩層916中之圖案可轉印至下伏介電層堆疊911而實質上不會侵蝕硬遮罩層916中之圖案。
參見圖9B,在一些實施例中,通過採用光微影技術,硬遮罩層916經圖案化有如橫截面圖903中所示之對應於圖8中所示之形狀833的開口933。開口931與開口933重疊且構成具有對應於形狀831及833之聯合830之形狀的單個開口。
參見圖9C,將硬遮罩層916(圖9B中所示)中之圖案轉印至下伏介電層堆疊911以形成對應於圖8中所示之形狀831及833之聯合830之導通孔開口930。用於將圖案轉印至介電層堆疊911之例示性方法已經參考圖7A提供。
用於形成圖3中所示之單鑲嵌互連結構102的後續操作類似於參考圖7B至圖7E描述之操作。
此部分中之每一方法用於形成對應互連結構,其包括位於金屬線下方且形成於金屬層下方之導通孔層集合中的連接結構。舉例而言,該集合為金屬層M1下方之導通孔層via0。用於形成包括位於金屬線下方且形成於另一金屬層下方之導通孔層集合中之連接結構的其他互連結構之方法在本發明之預期範疇內。舉例而言,該另一集合包括 金屬層M2下方之導通孔層via1,以及金屬層M3下方之導通孔層via2等。此外,用於形成包括金屬線上方且形成於另一金屬層上方之導通孔層集合中之連接結構的其他互連結構之方法在本發明之預期範疇內。舉例而言,該另一集合包括金屬層M1上方之導通孔層via1,及金屬層M2上方之導通孔層via2等。
具有使用上方層耦接之未對準金屬線之互連結構
如參考圖1A所描述,如其他方法中在柵格上佈設金屬線之一部分,彎曲金屬線且不在柵格上佈設金屬線之另一部分之策略無法釆用,原因在於針對用於進階技術節點之單向佈線規則以及一些金屬層中的金屬線維持固定間距環境之規則。補救此限制之一些實施例為通過連接結構連接第一互連層中之未對準金屬線,該連接結構包括第一互連層上方的第二互連層中之端至端部分。根據單向佈線規則,第一互連層中之金屬線在實質上正交於第二互連層中之金屬線之方向的方向中延行。為了第二互連層中之端至端部分跨越第一互連層中之未對準金屬線而連接,佈設具有寬至足以與該未對準金屬線重疊之寬度之金屬線,且施加該未對準金屬線之相對側上之切割線以使第二互連層中之金屬線成形為該端至端部分。
圖10A為根據一些實施例的具有使用上方互連層耦接之未對準金屬線120及140(與圖1A中所示之彼等相同)之互連結構1000之透視圖。與圖1A中所示之互連結構100相比,互連結構1000包括金屬層M2中之端至端部分1030,該金屬層M2在未對準金屬線120及140駐留於其中之金屬層M1上方。在一些實施例中,互連結構1000包括金屬線120及140以及將金屬線120耦接至金屬線140之連接結構1024。互連結構1000中標註有與參考圖1A描述之互連結構100中之元件之參考標號相同參考標號的元件與互連結構100中之元件相同。連接結構1024包括端至端部分1030以及導通孔1025及1035。端至端部分1030形成於金 屬層M2中且與金屬層M1中之金屬線120及140重疊。導通孔1025及1035形成於金屬層M1與M2之間的導通孔層via1中。導通孔1025及1035在端至端部分1030與對應金屬線120及140重疊處將端至端部分1030耦接至對應金屬線120及140。
圖10B為根據一些實施例的具有使用上方互連層耦接之對準金屬線170及190(與圖1B中所示之彼等相同)的另一互連結構1050之透視圖。與圖1B中所示之互連結構150相比,互連結構1050包括金屬層M2中之端至端部分1080,該金屬層M2在該對準金屬線170及190駐留於其中之金屬層M1上方。在一些實施例中,互連結構1050包括金屬線170及190以及將金屬線170耦接至金屬線190之連接結構1074。互連結構1050中標註有與參考圖1B描述之互連結構150中之元件之參考標號相同之參考標號的元件與互連結構150中之元件相同。類似於參考圖10A描述之連接結構1024,連接結構1074包括端至端部分1080以及導通孔1075及1085。端至端部分1080形成於金屬層M2中且與金屬層M1中之金屬線170及190重疊。導通孔1075及1085形成於金屬層M1與M2之間的導通孔層via1中。導通孔1075及1085在端至端部分1080與對應金屬線170及190重疊處將端至端部分1080耦接至對應金屬線170及190。
形成於金屬層M1、導通孔層via1及金屬層M2中之互連結構1000或1050為例示性的。形成於其他互連層中之互連結構在本發明之預期範疇內,諸如形成於金屬層M2、導通孔層via2及金屬層M3中之互連結構,形成於金屬層M3、導通孔層via3及金屬層M4中之互連結構,以及形成於金屬層M4、導通孔層via4及金屬層M5中之互連結構等。
圖11A為根據一些實施例的具有實質上非加寬之導通孔之圖10A中所示的互連結構1000之俯視圖。圖11A說明端至端部分1030與金屬線120之末端部分1022及金屬線140之末端部分1042重疊,且通過對應 導通孔1025及1035耦接至末端部分1022及1042。端至端部分1030具有與金屬線120之末端部分1022及金屬線140之末端部分1042重疊的實質上矩形形狀。該矩形形狀具有跨越末端部分1022之寬度W102及末端部分1042之寬度W104之寬度W103。在一些實施例中,寬度W102與寬度W104重疊。在其他實施例中,寬度W102未與寬度W104重疊。在一些實施例中,導通孔1025及1035與對應末端部分1022及1042接觸且完全立於對應末端部分1022及1042內。在一些實施例中,導通孔1025之寬度W1025至多實質上等於末端部分1022之寬度W102。類似地,導通孔1035之寬度W1035至多實質上等於末端部分1042之寬度W104
在一些實施例中,導通孔1025及1035具有均勻寬度。因此,寬度W1025及寬度W1035為均勻寬度。在其他實施例中,導通孔1025及1035具有例如用於錐形線末端之寬度的不均勻寬度。因此,寬度W1025及寬度W1035中之每一者為各別導通孔1025及1035之最大寬度。導通孔1025之寬度W1025經界定之方式可貫穿本發明相似地使用。
圖11B為根據一些實施例的具有加寬之導通孔之另一互連結構1100之俯視圖。互連結構1100包括對應於參考圖11A描述之對應金屬線120、金屬線140、端至端部分1030以及導通孔1025及1035之金屬線1120、金屬線1140、端至端部分1030以及導通孔1125及1135。金屬線1120具有末端部分1122且金屬線1140具有末端部分1142,端至端部分1140在以上兩個末端部分處與對應金屬線1120及1140重疊。末端部分1122及1142對應於參考圖11A描述之對應末端部分1022及1042。互連結構1100中標註有與圖11A中所示之互連結構1000中之元件之參考標號相同參考標號的元件與互連結構1000中之元件相同。與金屬線120及140相比,金屬線1120及1140進一步朝向彼此延伸。與導通孔1025及1035相比,導通孔1125及1135為加寬的且部分地立於對應末端部分1122及1142上。在一些實施例中,導通孔1125之寬度W1125比末端部 分1122之寬度W112寬等於大約30%的第二因數以減少導通孔1125之電阻,及/或導通孔1135之寬度W1135比末端部分1124之寬度W114寬該第二因數以減少導通孔1135之電阻。考慮由製程變化引入之寬度W1125及W112及/或寬度W1135及W114之變化,該第二因數之下限高於大約10%以使得寬度W1125比寬度W112寬及/或寬度W1135比寬度W114寬。設定該第二因數之上限以使得與via1層中之鄰近結構維持最小間距。
圖12為根據一些實施例的圖11A中所示之沿著線F-F'截取之互連結構1000之橫截面圖。圖12說明耦接至金屬線120之鑲嵌結構1002。鑲嵌結構1002包括形成於金屬線120駐留之金屬層M1上方之金屬層M2中的端至端部分1030,以及形成於金屬層M1與M2之間的導通孔層via1中以將端至端部分1030耦接至金屬線120之導通孔1025。在一些實施例中,鑲嵌結構1002為雙鑲嵌結構。在其他實施例中,鑲嵌結構1002為單鑲嵌結構。
圖13A為根據一些實施例的具有如圖11A中所展示之未加寬之導通孔1025及1035之互連結構1300A之俯視圖,該導通孔耦接至未實質上延伸超出鄰近於對應金屬線120及140之金屬線170及190之末端之對應末端部分1022及1042。金屬線170及190之末端展示為與對應線154及156重合。互連結構1300A包括參考圖11A描述之互連結構1000,以及參考圖4A描述之鄰近互連結構152。端至端部分1030延伸超出線154及156而與金屬線170之側面上之末端部分1022及金屬線190之側面上的末端部分1042重疊。導通孔1025耦接於在金屬線170旁邊之末端部分1022與端至端部分1030之間,且導通孔1025耦接於在金屬線190旁邊之末端部分1042與端至端部分1030之間。在參考圖11A描述之一些實施例中,導通孔1025及1035實質上不寬於對應末端部分1022及1042以避免干擾導通孔層via1中之其他導通孔。
圖13B為根據一些實施例的具有如圖11B中所示之加寬之導通孔 1125及1135的另一互連結構1300B之俯視圖,該導通孔耦接至延伸超出鄰近於對應金屬線1120及1140之金屬線170及190之末端且端至端部分1130與其重疊之對應末端部分1122及1142。與參考圖13A描述之互連結構1300A相比,互連結構1300B包括參考圖11B描述之互連結構1100而不為互連結構1000。與互連結構1000之金屬線120及140相比,金屬線1120平行於金屬線170延行且超出金屬線170結束處之線154而結束,且金屬線1140在金屬線190開始處之線156後方開始且平行於金屬線190延行。在一些實施例中,端至端部分1130與跨越線154之末端部分1122及跨越線156之末端部分1142重疊。與互連結構1000之導通孔1025及1035相比,導通孔1125不位於金屬線170相對於線154駐留之側面上,且導通孔1135不位於金屬線190相對於線156駐留之側面上。以此方式,導通孔1125及1135不鄰近於導通孔層via1中之其他導通孔。因此,在參考圖11B描述之一些實施例中,導通孔1125及1135比對應末端部分1122及1142寬。
此部分中之每一互連結構包括金屬線上方之連接結構且形成於導通孔層及第一金屬層上方之第二金屬層之集合中。舉例而言,該集合為導通孔層via1及金屬層M1上方之金屬層M2。包括金屬線上方之連接結構且形成於導通孔層及第一金屬層上方之第二金屬層之另一集合中之其他互連結構在本發明之預期範疇內。舉例而言,該另一集合包括導通孔層via2及金屬層M2上方之金屬層M3,以及導通孔層via3及金屬層M3上方之金屬層M4等。此外,包括金屬線下方之連接結構且形成於導通孔層及第一金屬層下方之第二金屬層之另一集合中的其他互連結構在本發明之預期範疇內。舉例而言,該另一集合包括導通孔層via1及金屬層M2下方之金屬層M1,以及導通孔層via2及金屬層M3下方之金屬層M2等。
用於形成具有使用上方層耦接之未對準金屬線之互連結構之方法
圖14為根據一些實施例的用於形成圖10A中所示之互連結構1000之布局1400之圖。圖14說明用於通過在實質上正交於未對準金屬線620及640延行且與未對準金屬線620及640重疊之金屬線1426上應用切割線1427及1428而形成圖10A中所示之端至端部分1030之布局1400。布局1400包括金屬層M1、金屬層M1上方之金屬層M2、插入於金屬層M1與金屬層M2之間的導通孔層via1,以及施加於金屬層M2上之切割層。金屬層M1包括金屬線620及金屬線640。金屬線620在長度中實質上沿著Y方向延伸且在末端部分1422結束。金屬線640自末端部分1442開始且在長度中實質上沿著Y方向延伸。金屬線640在Y方向中與金屬線620未對準。
包括金屬層M1、金屬層M1上方之金屬層M2、插入於金屬層M1與金屬層M2之間的導通孔層via1以及施加於金屬層M2上之切割層之布局1400為例示性的。包括其他互連層之布局在本發明之預期範疇內,例如包括金屬層M2、金屬層M2上方之金屬層M3、插入於金屬層M2與金屬層M3之間的導通孔層via2以及施加於金屬層M2上之切割層之布局,包括金屬層M3、金屬層M3上方之金屬層M4、插入於金屬層M3與金屬層M4之間的導通孔層via3以及施加於金屬層M3上之切割層,包括金屬層M3、金屬層M3上方之金屬層M4、插入於金屬層M3與金屬層M4之間的導通孔層via3以及施加於金屬層M3上之切割層之布局等。
在一些實施例中,金屬層M2包括金屬線1426,其在長度中實質上沿著X方向延伸且具有寬度W1426以使得金屬線1426與末端部分1422及末端部分1442重疊。X方向實質上正交於Y方向。該切割層包括切割線1427及1428,該切割線跨越金屬線1426之寬度W1426延行且經組態於末端部分1427及1428之相對側上。切割線1427與1428之間的金屬線1426之部分1430對應於圖10A中所示之端至端部分1030。
在一些實施例中,導通孔層via1包括將金屬層M1中之末端部分1422耦接至金屬層M2中之金屬線1426之導通孔1425,以及將金屬層M1中之末端部分1442耦接至金屬層M2中之金屬線1426之導通孔1435。
包括金屬層M1、導通孔層via1、金屬層M2以及切割層1427及1428之布局1400為例示性的。形成於其他互連層中之布局在本發明之預期範疇內。
圖15A至圖15D為根據一些實施例的說明用於使用圖14中所示之布局1400製造圖10A中所示之互連結構1000的方法之橫截面圖。圖15A至圖15D為對應於布局1400中之線G-G'截取之橫截面圖。圖15A至圖15D說明使用導通孔優先雙鑲嵌製程以及圖14中所示之切割線1427及1428形成圖10A中所示之端至端部分1030及導通孔1035。如圖15C中標註,對於導通孔優先雙鑲嵌製程,雙鑲嵌開口1504之形成包括首先蝕刻導通孔開口1535B且隨後蝕刻溝槽1530。切割線1427及1428對應於用於產生圖14中所示之金屬線1426之開口1526(圖15A中所示)中之成塊結構1527及1528(圖15B中所示)。圖15A至圖15D中標註有與互連結構1000中之元件之參考標號相同參考標號的元件與互連結構1000中之元件相同。
參見圖15A,在一些實施例中,提供介電層1510。金屬線140形成於介電層1510中。金屬線140根據圖14中所示之布局1400中之金屬線640形成。介電層1512形成於介電層1510上方。介電層1514形成於介電層1512上方。在一些實施例中,介電層1510、1512及1514中之每一者為類似於參考圖7A描述之介電層堆疊711之介電層堆疊。開口1535A形成於介電層1512及1514中以暴露金屬線140之一部分。開口1535A對應於布局1400中之導通孔1435而形成。硬遮罩層1516形成於介電層1514上方且經圖案化有連接至開口1535A之開口1526。開口 1526對應於布局1400中之金屬線1426。在一些實施例中,填充開口1535A之一部分之保護塗層(未圖示)在硬遮罩層1516之沈積及圖案化之前形成以保護開口1535A之底部處的暴露結構。用於介電層1510、1512及1514中之每一者之例示性材料及形成方法已經參考圖7A提供。用於金屬線140之例示性材料及形成方法已經參考圖7D及圖7E提供。用於開口1535A之例示性形成方法已經參考圖7A提供。用於圖案化硬遮罩層1516以產生開口1526之例示性形成方法已經參考圖9A提供。
參見圖15B,在一些實施例中,硬遮罩層1518形成於硬遮罩層1516上方及圖15A中所示之開口1526中,且經圖案化以使得成塊結構1527及1528形成於開口1526中。成塊結構1527及1528對應於布局1400中之對應切割線1427及1428。用於圖案化硬遮罩層1518以形成塊結構1527及1528之例示性形成方法己經參考圖9A提供。
參見圖15C,在一些實施例中,由圖15B中所示之硬遮罩層1516及1518共同地形成之圖案轉印至下伏介電層1514且進而形成包括介電層1512中之導通孔開口1535B上方的介電層1514中之溝槽1530之雙鑲嵌開口1504。溝槽1530對應於布局1400中之金屬線1426之部分1430。導通孔開口1535B對應於布局1400中之導通孔1435。用於將圖案轉印至介電層1514之例示性方法己經參考圖7A提供。
參見圖15D,在一些實施例中,擴散阻障層1030A保形地沈積在圖15C中所示之雙鑲嵌開口1504之側壁及底部上。沈積導電層1030B以填充雙鑲嵌開口1504之剩餘空間。擴散阻障層1030A及導電層1030B之例示性材料及形成方法己經參考圖7B描述。所得結構為包括端至端部分1030及導通孔1035之雙鑲嵌互連結構1004。導通孔1035將下伏金屬線140耦接至上覆端至端部分1030。
端至端部分1030及導通孔1035為使用導通孔優先雙鑲嵌製程形 成的。使用另一雙鑲嵌製程或單鑲嵌製程形成之端至端部分1030及導通孔1035在本發明之預期範疇內。
此部分中之每一方法用於形成對應互連結構,其包括形成於導通孔層及第一金屬層上方之第二金屬層之集合中之金屬線上方的連接結構。舉例而言,該集合為導通孔層via1及金屬層M1上方之金屬層M2。用於形成包括金屬線上方之連接結構且形成於導通孔層及第一金屬層上方之第二金屬層之另一集合中的其他互連結構之方法在本發明之預期範疇內。舉例而言,該另一集合包括導通孔層via2及金屬層M2上方之金屬層M3,以及導通孔層via3及金屬層M3上方之金屬層M4等。此外,用於形成包括金屬線下方之連接結構且形成於導通孔層及第一金屬層下方之第二金屬層之另一集合中的其他互連結構之方法在本發明之預期範疇內。舉例而言,該另一集合包括導通孔層via1及金屬層M2下方之金屬層M1,以及導通孔層via2及金屬層M3下方之金屬層M2等。
具有使用下方/上方層耦接之未對準金屬線以及使用上方/下方層耦接之鄰近金屬線之互連結構
圖16為根據一些實施例的包括圖1A中所示之互連結構100以及鄰近於該互連結構100形成之圖10B中所示之互連結構1050的互連結構1600之透視圖。金屬線170及190形成於與金屬線120及140相同之互連層中。金屬線170鄰近於金屬線120形成且金屬線190鄰近於金屬線140形成。金屬線120及140使用在金屬線120及140之層下方之互連層中的端至端部分130耦接在一起。為了避免干擾端至端部分130,金屬線170及190使用在金屬線170及190之層上方的互連件層中之端至端部分1080以及插入於對應金屬線170及190與端至端部分1080之間的導通孔1075及1085耦接至彼此。
圖17為根據一些實施例的包括圖10A中所示之互連結構1000以及 鄰近於該互連結構1000形成之圖1B中所示之互連結構150的互連結構1700之透視圖。金屬線170及190形成於與金屬線120及140相同之互連層中。金屬線170鄰近於金屬線120形成且金屬線190鄰近於金屬線140形成。為了避免干擾使用金屬線120及140之層上方的互連層將金屬線120及140耦接在一起之端至端部分1030以及導通孔1025及1035,金屬線170及190使用在金屬線170及190之層下方之互連層中之端至端部分180耦接至彼此。
單端口SRAM巨集
SRAM巨集包括用於包括不在柵格上佈線之金屬線的陣列單元陣列之一部分及用於包括在柵格上佈線之金屬線之輸入及輸出(IO)電路之一部分,以節省SRAM巨集之區域。歸因於微影工具之限制,考慮至圖案之間的距離或不同形狀之圖案之組合而對布局執行光學鄰近校正(OPC)。為了限定由OPC校正的不同圖案形狀之圖案之組合及間距之數目,該圖案佈設於柵格上。對於佈設於柵格上之每一圖案,基於為柵格線之相交點之網格點來配置該圖案。結果,佈設於柵格上之例示性圖案具有沿著柵格線之間的中線之圖案邊界。對於SRAM巨集,IC電路之部分佈設於柵格上以節省OPC之執行時間。另一方面,陣列單元陣列之部分不佈設於柵格上以節省區域。對於不佈設於柵格上之每一圖案,不基於網格點配置該圖案,但遵循與佈設於柵格上之每一圖案對應之配線規則。結果,不佈設於柵格上之例示性圖案具有偏離柵格線之間的中線之圖案邊界。參考圖1至圖17所描述之實施例適用於SRAM巨集,且在下文中加以描述。
圖18為根據一些實施例的半導體晶片中之SRAM巨集1800之方塊圖。參考對應圖1A、圖2A至圖5及圖10A、圖11A至圖13B所描述的連接結構124及1024中之任一者適用於形成圖18中所示之陣列單元SC81、…至SC84與IO電路1804之間的連接。
SRAM巨集1800包括大量陣列單元SC11、SC12、…及SC84、字線解碼電路1802及IO電路1804。存取電路之類型包括IO電路1804及字線解碼電路1802。字線解碼電路1802驅動沿著陣列單元SC11至SC14、SC21至SC24,…及SC81至SC84之對應列延行之多個字線WL1、WL2、...及WL8。IO電路1804驅動或接收沿著陣列單元SC11至SC81、SC12至SC82,...及SC14至SC84之對應行延行之多對位元線及互補位元線BL1與BLB1、BL2與BLB2、...及BL4與BLB4。存取線之類型包括例如該多個字線WL1、WL2、…及WL8等字線以及該對位元線或互補位元線,例如該多對位元線與互補位元線BL1與BLB1、BL2與BLB2、...及BL4與BLB4。字線解碼電路1802經組態以接收列位址、解碼該列位址且斷言例如該字線WL1、WL2、…及WL8中之對應一者,使得選擇陣列單元之對應行SC11至SC14、SC21至SC24、…或SC81至SC84用於存取。對於讀取操作,IO電路1804經組態以預充電且等化該多對位元線與互補位元線BL1與BLB1、BL2與BLB2、…及BL4與BLB4,基於經由該多對位元線與互補位元線BL1與BLB1、BL2與BLB2、…及BL4與BLB4接收之差分電壓感測資料,且選擇對應於經解碼行位址之行中之感測資料並輸出該資料。對於寫入操作,IO電路1804經組態以選擇對應於經解碼行位址之行用於輸入資料且根據該輸入資料驅動所選對位元線與互補位元線BL1與BLB1、BL2或BLB2、...或BL4與BLB4,使得該輸入資料儲存於陣列單元SC11、SC12...或SC84中。包括字線解碼電路1802及IO電路1804之電路可稱為外圍電路。
為簡單起見,SRAM巨集1800例示性地展示為單組記憶體。在一些實施例中,單組記憶體包括陣列單元陣列及在平坦定址方案下存取該陣列單元陣列之存取電路。在平坦定址方案下,陣列單元陣列中之每一陣列單元具有列位址及行位址。在一些實施例中,多組記憶體包括多個陣列單元陣列以及在階層式定址方案下存取該多個陣列單元陣 列之全局及局部存取電路。在階層式定址方案下,多個陣列單元陣列中之每一陣列單元具有組位址、列位址及行位址。具有類似於SRAM巨集1800之記憶體組及其局部存取電路之多組記憶體在本發明之涵蓋範圍內。作為一實例用於說明,SRAM巨集1800具有8個列及4個行。其他數目的列及/或行在本發明之涵蓋範疇內。
圖19為根據一些實施例的圖18中所示之電路1806之電路圖。圖19說明電路1806中之元件及該元件之間的連接。在一些實施例中,電路1806包括陣列單元SC81及IO電路1804之對應部分(標註於圖18中)。其他陣列單元SC82、S83...及S84以及IO電路1804之對應部分類似於電路1806。陣列單元SC81包括交叉耦接反相器對1808以及通過閘1810及1812。反相器對1808經組態以將資料保留於儲存節點SN及互補儲存節點SNB處。通過閘1810經組態以回應於字線WL8處之信號而將位元線BL1耦接至儲存節點SN。通過閘1812經組態以回應於字線WL8處之信號而將互補位元線BLB1耦接至互補儲存節點SNB。
在一些實施例中,反相器對1808中之第一反相器包括P型場效應電晶體(P-FET)PU1及N-FET PD1。P-FET PU1之源極耦接至較高電力供應節點CVdd。N-FET PD1之源極耦接至較低電力供應節點CVss。P-FET PU1及N-FET PD1之汲極一起耦接至儲存節點SN。P-FET PU1及N-FET PD1之閘極一起耦接至互補儲存節點SNB。反相器對中之第二反相器包括P-FET PU2及N-FET PD2。P-FET PU2之源極耦接至較高電力供應節點CVdd。N-FET PD2之源極耦接至較低電力供應節點CVss。P-FET PU2及N-FET PD2之汲極一起耦接至互補儲存節點SNB。P_FET PU2及N-FET PD2之閘極一起耦接至儲存節點SN。
通過閘1810包括N-FET PG1,其閘極耦接至字線WL8,第一源極或汲極耦接至儲存節點SN且第二源極或汲極耦接至位元線BL1。通過閘1812包括N-FET PG2,其閘極耦接至字線WL8,第一源極或汲極耦 接至互補儲存節點SNB且第二源極或汲極耦接至互補位元線BLB1
在一些實施例中,IO電路1804之對應於陣列單元SC81的部分包括用於預充電及等化操作之P-FET Q1、P-FET Q2及P-FET Q3,用於讀取操作之P-FET Q4及P-FET Q6,以及用於寫入操作之N-FET Q5及N-FET Q7。為簡單起見,未展示用於自用於讀取操作之位元線與互補位元線對BL1與BLB1感測資料的電路及驅動用於寫入操作之位元線與互補位元線對BL1與BLB1的電路。
在一些實施例中,P-FET Q1之第一源極或汲極以及第二源極或汲極耦接至對應位元線BL1及互補位元線BLB1,且P-FET Q1之閘極耦接至控制線BLEQB。P-FET Q2及Q3之源極一起耦接至預充電電壓VPC,P-FET Q2及Q3之汲極耦接至對應位元線BL1及互補位元線BLB1,且P-FET Q2及Q3之閘極一起耦接至控制線BLEQB。在讀取操作之前的等化及預充電操作期間,控制線BLEQB處之信號接通P-FET Q1、Q2及Q3。在P-FET Q2及Q3將位元線BL1及互補位元線BLB1預充電至預充電電壓VPC時,P-FET Q1通過等化位元線BL1與互補位元線BLB1上之初始電壓而幫助加速此過程。
在一些實施例中,在讀取操作期間,P-FET Q4及Q6回應於控制線處之信號YB_READ而將對應位元線BL1及互補位元線BLB1耦接至對應輸出資料線DL及互補輸出資料線DLB。在一些實施例中,控制線處之信號YB_READ為根據經解碼行位址而產生。在一些實施例中,在寫入操作期間,N-FET Q5及Q7回應於控制線處之信號Y_WRITE而將對應輸入資料線WT及互補輸入資料線WC耦接至對應位元線BL1及互補位元線BLB1。在一些實施例中,控制線處之信號Y_WRITE為根據經解碼行位址而產生。
位元線(例如,BL1亦稱為資料線,且互補位元線(例如,BLB1亦稱為互補資料線,因為位元線BL1及互補位元線BLB1攜載用於陣列單 元(例如,SC81)之資料。
下文展示圖19中所示之電路1806之各種實施例的布局及橫截面圖。在IC製造過程中,前段製程(FEOL)形成諸如電晶體等裝置且因此覆蓋在層via0之前的器件層及接觸層,且後段製程(BEOL)形成用於連接裝置之互連層之堆疊且因此經由接觸層覆蓋堆疊金屬層及插入於每對堆疊金屬層之間的各別導孔層。作為一實例,電路1806之在圖23中展示之橫截面2202包括在IC製造過程之對應FEOL及BEOL期間形成的FEOL部分2204及BEOL部分2206。圖20中所示之布局2000包括在FEOL部分2204中之陣列單元SC81之層,圖21中所示之布局2002包括在BEOL部分2206中之陣列單元SC81之層及在橫截面2202中未展示之陣列單元SC81之金屬層M3。另外,圖22A中所示之布局2200A包括BEOL部分2206中直至陣列單元SC81及IO電路1804之金屬層M1之層。布局2000進一步包括在BEOL部分2206中之導通孔層via0,用於展示FEOL部分2204至BEOL部分2206之結構連接。類似地,圖20中所示之布局2000、圖21中所示之布局2002及圖22B中所示之布局2200B至圖22D中所示之布局2200D以及圖24中所示之布局2400中的每一者中之層可映射至圖23中所示之FEOL部分2204及BEOL部分2206中之層。圖20中所示之布局2000、圖21中所示之布局2002及圖25中所示之布局2500中的層可映射至圖26中所示之FEOL部分2504及BEOL部分2506中之層。圖27中所示之布局2700、圖28中所示之布局2702、圖29中所示之布局中的層可映射至圖30中所示之FEOL部分2904及BEOL部分2906中之層。圖27中所示之布局2700、圖28中所示之布局2702及圖31中所示之布局3100中的層可映射至圖33中所示之FEOL部分3104及BEOL部分3106中之彼等層。
圖20為根據一些實施例的包括圖23中所示之FEOL部分2204中的陣列單元SC81(指示於圖19中)之層以及BEOL部分2206中的陣列單元 SC81之導通孔層via0之布局2000之圖。圖20說明由單鰭片式FinFET實施之陣列單元SC81。在一些實施例中,FEOL部分為在其處圖案化例如電晶體等裝置之IC製造部分且包括例如在層via0之前之器件層及接觸層。形成層via0被視作IC製造之後端製程(BEOL)部分之開始。BEOL部分包括在FEOL部分上之互連層之堆疊。互連層之堆疊為由堆疊金屬層及插入於每對堆疊金屬層之間的各別導通孔層形成。層via0為FEOL接觸層與BEOL金屬層M1之間的導通孔層。為促進以下描述,布局中之形狀由半導體晶片中之對應結構元件之名稱來指代。
布局圖2000包括在長度上實質上沿著Y方向延伸之多個鰭片結構2014、2016、2018及2020,在長度上實質上沿著X方向延伸之多個閘極結構2022、2024、2026及2028,在長度上沿著X方向延伸之多個源極或汲極觸點2030、2034、2038、2042、2050、2054、2058及2062,在長度上沿著Y方向延伸之多個閘極觸點2036、2046、2056及2066,以及在層via0中之多個導通孔2032、2040、2044、2048、2052、2060、2064及2068。在一些實施例中,X方向為沿著陣列單元之列,諸如,圖18中所示之陣列單元SC11、SC12...及SC14之列,且Y方向為沿著陣列單元之行,諸如,陣列單元SC11、SC21...及SC81之行。
圖19中所示之FET PU1、PD1、PG1,PU2、PD2及PG2配置於布局圖2000中之三個部分中。中間部分專用於P-FET PU1及PU2,左側部分對應於N-FET PD1及PG1,且右側部分屬於N-FET PD2及PG2。對於P-FET PU1,閘極包括包圍鰭片結構2014中之通道區域之閘極結構2022,且源極及汲極包括在鰭片結構2014中在閘極結構2022之相對側上之對應源極區及汲極區。對於N-FET PD1,閘極包括包圍鰭片結構2016中之第一通道區域之閘極結構2022,且源極及汲極包括在鰭片結構2016中之在閘極結構2022之相對側上的對應源極區及汲極區。由於閘極結構2022穿越鰭片結構2014及鰭片結構2016兩者,因此,P-FET PU1與N-FET PD1的閘極耦接在一起。對於N-FET PG1,閘極包括包圍鰭片結構2016中之第二通道區域之閘極結構2024,且第一源極或汲極以及第二源極或汲極包括在鰭片結構2016中之在閘極結構2024之相對側上的對應第一源極或汲極區以及第二源極或汲極區。用於N-FET PD1之汲極區與用於N-FET PG1之第一源極或汲極區在鰭片結構2016中共用。由於用於N-FET PD1之汲極區與用於N-FET PG1之第一源極或汲極區在鰭片結構2016中共用,因此N-FET PD1之汲極耦接至N-FET PG1之第一源極或汲極。
對於P-FET PU2,閘極包括包圍鰭片結構2018中之通道區域之閘極結構2026,且源極及汲極包括在鰭片結構2018中在閘極結構2026之相對側上之對應源極區及汲極區。對於N-FET PD2,閘極包括包圍鰭片結構2020中之第一通道區域之閘極結構2026,且源極及汲極包括在鰭片結構2020中在閘極結構2026之相對側上的對應源極區及汲極區。由於閘極結構2026穿越鰭片結構2018及鰭片結構2020兩者,因此P-FET PU2與N-FET PD2之閘極耦接在一起。對於N-FET PG2,閘極包括包圍鰭片結構2020中之第二通道區域之閘極結構2028,且第一源極或汲極以及第二源極或汲極包括在鰭片結構2020中在閘極結構2028之相對側上的對應第一源極或汲極區以及第二源極或汲極區。用於N-FET PD2之汲極區與用於N-FET PG2之第一源極或汲極區在鰭片結構2020中共用。由於用於N-FET PD2之汲極區與用於N-FET PG2之第一源極或汲極區在鰭片結構2020中共用,因此N-FET PD2之汲極耦接至N-FET PG2之第一源極或汲極。
P-FET PU1之源極耦接至圖19中所示之較高電力供應節點CVdd。較高電力供應節點CVdd耦接至導通孔2032,該導通孔又耦接至觸點2030。觸點2030與用於鰭片結構2014中之P-FET PU1之源極區接觸。導通孔2032將觸點2030耦接至待參考圖21描述之BEOL部分中之金屬 線2070。N-FET PD1之源極耦接至圖19中所示之較低電力供應節點CVss。較低電力供應節點CVss耦接至導通孔2040,該導通孔又耦接至觸點2038。觸點2038與用於鰭片結構2016中之N-FET PD1之源極區接觸。導通孔2040將觸點2038耦接至待參考圖21描述之BEOL部分中之著陸襯墊2074。P-FET PU1之汲極、N-FET PD1之汲極、N-FET PG1之第一源極或汲極以及P-FET PU2及N-FET PD2之閘極耦接至圖19中所示之儲存節點SN。儲存節點SN包括觸點2034及耦接至觸點2034之觸點2036。觸點2034與用於鰭片結構2014中之P-FET PU1之汲極區及與用於鰭片結構2016中之共用的用於N-FET PG1之第一源極或汲極區及用於N-FET PD1之汲極區接觸。觸點2036與用於P-FET PU2及N-FET PD2之閘極結構2026接觸。N-FET PG1之第二源極或汲極耦接至圖19中所示之位元線BL1。位元線BL1耦接至導通孔2044,該導通孔又耦接至觸點2042。觸點2042與用於鰭片結構2016中之N-FET PG1之第二源極或汲極區接觸。導通孔2044將觸點2042耦接至待參考圖21描述之BEOL部分中之金屬線620A。N-FET PG1之閘極耦接至字線圖19中所不之WL8。字線WL8耦接至導通孔2048,該導通孔又耦接至觸點2046。觸點2046與用於N-FET PG1之閘極結構2024接觸。導通孔2048將觸點2046耦接至待參考圖21描述之BEOL部分中之著陸襯墊2072。
P-FET PU2之源極耦接至圖19中所示之較高電力供應節點CVdd。較高電力供應節點CVdd進一步耦接至導通孔2052,該導通孔又耦接至觸點2050。觸點2050與用於鰭片結構2018中之P-FET PU2之源極區接觸。導通孔2052將觸點2050耦接至待參考圖21描述的BEOL部分中之金屬線2070。N-FET PD2之汲極耦接至圖19中所示之較低電力供應節點CVss。較低電力供應節點CVss進一步耦接至導通孔2060,該導通孔又耦接至觸點2058。觸點2058與用於鰭片結構2020中之N-FET PD2之第二源極或汲極區接觸。導通孔2060將觸點2058耦接至待參考 圖21描述之BEOL部分中的著陸襯墊2076。P-FET PU2之汲極、N-FET PD2之汲極、N-FET PG2之第一源極或汲極以及P-FET PU1及N-FET PD1之閘極耦接至圖19中所示之互補儲存節點SNB。互補儲存節點SNB包括觸點2054及耦接至觸點2054之觸點2056。觸點2054與用於鰭片結構2018中之P-FET PU2之汲極區及與用於鰭片結構2020中之共用的N-FET PG2之第一源極或汲極區與用於N-FET PD2之汲極區接觸。觸點2056與用於P-FET PU1及N-FET PD1之閘極結構2022接觸。N-FET PG2之第二源極或汲極耦接至圖19中所示之互補位元線BLB1。互補位元線BLB1耦接至導通孔2064,該導通孔又耦接至觸點2062。觸點2062與用於鰭片結構2020中之N-FET PG2之第二源極或汲極區接觸。導通孔2064將觸點2062耦接至待參考圖21描述之BEOL部分中之金屬線620B。N-FET PG2之閘極耦接至圖19中所示之字線WL8。字線WL8進一步耦接至導通孔2068,該導通孔又耦接至觸點2066。觸點2066與用於N-FET PG2之閘極結構2028接觸。導通孔2068將觸點2066耦接至待參考圖21描述之BEOL部分中之著陸襯墊2078。
圖21為根據一些實施例的包括圖23中所示之BEOL部分2206中的陣列單元SC81(指示於圖19中)之層及圖23中所示之橫截面2202中不可見的陣列單元SC81之金屬層M3之布局2002之圖。在IC製造中,BEOL部分包括在FEOL部分上之互連層之堆疊。圖21說明在金屬層M1至M3中之金屬線及著陸襯墊以及插入於各層之間的導通孔。在圖21中,用於位元線BL1之金屬線620A與用於位元線BLB1之金屬線620B沿著Y方向平行地延行,且位於用於較高電力供應節點CVdd之金屬線2070之相對側上。
布局圖2002包括在金屬層M1中之多個金屬線620A、2070及620B以及多個著陸襯墊2072、2074、2076及2078,在金屬層M2中之多個金屬線2092、2094及2096,在金屬層M3中之金屬線2102,在層via0中 之多個導通孔2032、2040、2044、2048、2052、2060(未標註)、2064及2068,在via1層中之多個導通孔2082、2084、2086(未標註)及2088,以及在via2層中之多個導通孔2098(未標註)及2100。導通孔2060、2086及2098在布局圖2002中重疊。金屬層M1中之金屬線620A、2070及620B在長度上實質上沿著Y方向延伸。金屬層M2中之金屬線2092、2094及2096在長度上實質上沿著X方向延伸,且金屬層M3中之金屬線2102在長度上實質上沿著Y方向延伸。
較高電力供應節點CVdd包括金屬線2070。金屬線2070跨越陣列單元SC11、SC21、…及SC81之列延伸且耦接至導通孔2032及2052。位元線BL1包括金屬線620A。金屬線620A跨越陣列單元SC11、SC21、…及SC81之列延伸且耦接至導通孔2044。互補位元線BLB1包括金屬線620B。金屬線620B跨越陣列單元SC11、SC21、…及SC81之列延伸且耦接至導通孔2064。用於位元線BL1之金屬線620A及用於互補位元線BLB1之金屬線620B位於用於較高電力供應節點CVdd之金屬線2070之相對側上。
字線WL包括著陸襯墊2072、導通孔2082、著陸襯墊2078、導通孔2088及金屬線2096。金屬線2096跨越陣列單元SC81、SC82、…及SC84之行延伸。金屬線2096經由導通孔2082以及著陸襯墊2072耦接至導通孔2048,且經由導通孔2088以及著陸襯墊2078耦接至導通孔2068。較低電力供應節點CVss包括著陸襯墊2076、導通孔2086、金屬線2092、著陸襯墊2074、導通孔2084、金屬線2094、導通孔2098、導通孔2100及金屬線2102。金屬線2092跨越陣列單元SC81、SC82、…及SC84之行延伸且經由導通孔2086以及著陸襯墊2076耦接至導通孔2060。金屬線2094跨越陣列單元SC81、SC82、…及SC84之行延伸且經由導通孔2084以及著陸襯墊2074耦接至導通孔2040。金屬線2102跨越陣列單元SC11、SC12、…及SC18之列延伸。金屬線2102經由導通孔 2098耦接至金屬線2092。金屬線2102經由導通孔2100耦接至金屬線2094。用於較低電力供應節點CVss之金屬線2092及2094位於用於字線WL8之金屬線2096之相對側上。
圖22A為根據一些實施例的包括直至圖23中所示之BEOL部分2206中的金屬層M1之電路1806(指示於圖19中)之層之布局2200A之圖。圖22A說明使用導通孔層via0中之端至端部分630A耦接之在金屬層M1中的用於位元線BL1之未對準金屬線620A及640A,以及使用導通孔層via0中之端至端部分630B耦接之在金屬層M1中用於互補位元線BLB1之未對準金屬線620B及640B。在一些實施例中,端至端部分630A包含於將金屬線620A耦接至金屬線640A之連接結構624A中,且端至端部分630B包含於將金屬線620B耦接至金屬線640B之連接結構624B中。在一些實施例中,位元線BL1之金屬線620A及640A以及互補位元線BLB1之金屬線620B及640B實施於金屬層M1或互連層當中之較薄最低層級金屬中,用於減小位元線BL1及互補位元線BLB1上之電容。
在一些實施例中,布局2200A包括用於參考圖21描述之陣列單元SC81之部分以及用於IO電路1804之部分。除了陣列單元SC81之BEOL部分之外,位元線BL1進一步包括在IO電路1804之對應BEOL部分中的金屬線640A以及跨越陣列單元SC81之BEOL部分及IO電路1804之BEOL部分的端至端部分630A。金屬線640A處於金屬層M1中,且在長度上實質上沿著Y方向延伸。金屬線640A實質上沿著X方向相對於金屬線620A移位,且因此在Y方向上與金屬線620A未對準。端至端部分630A形成於導通孔層via0中,且與金屬線620A及金屬線640A重疊。由金屬線620A及640A、端至端部分630A以及金屬線2070及2090形成之結構元件類似於參考圖2A及圖4A描述的對應金屬線120及140、端至端部分130以及金屬線170及190。導通孔層via0充當用於陣 列單元SC81及IO電路1804之局部互連層。
除了陣列單元SC81之BEOL部分之外,互補位元線BLB1進一步包括在IO電路1804之對應BEOL部分中的金屬線640B以及跨越陣列單元SC81之BEOL部分及IO電路1804之BEOL部分之端至端部分630B。金屬線640B處於金屬層M1中,且在長度上實質上沿著Y方向延伸。金屬線640B實質上沿著與X方向相反之方向相對於金屬線640B移位,且因此在Y方向上與金屬線620B未對準。端至端部分630B形成於導通孔層vi0中,且與金屬線620B及金屬線640B重疊。由金屬線620B及640B、端至端部分630B以及金屬線2070及2090形成之結構元件類似於參考圖2A及圖4A描述之對應金屬線120及140、端至端部分130以及金屬線170及190,惟在X方向上反向除外。
圖22B為根據一些實施例的包括直至圖23中所示之BEOL部分2206中之電路1806(展示於圖19中)之金屬層M1之層之另一布局2200B之圖。與圖22A中所示之布局2200A相比,金屬線2220A及2220B在Y方向上延伸超出金屬線2070,且金屬線2240A及2240B在Y方向上在金屬線2090後方開始。此外,端至端部分2230A在端至端部分2230A與金屬線2220A及2240A重疊處比圖22A中所示之端至端部分630A寬。端至端部分2230B在端至端部分2230B與金屬線2220B及2240B重疊處比圖22A中所示之端至端部分630B寬。由金屬線2220A及2240A、端至端部分2230A以及金屬線2070及2090形成之結構元件類似於參考圖2B及圖4B描述之對應金屬線220及240、端至端部分230以及金屬線170及190。由金屬線2220B及2240B、端至端部分2230B以及金屬線2070及2090形成之結構元件類似於參考圖2B及圖4B描述之對應金屬線220及240、端至端部分230以及金屬線170及190,惟在X方向上反向除外。
圖22C為根據一些實施例的包括直至圖23中所示之BEOL部分 2206中之電路1806(展示於圖19中)之金屬層M1之層的另一布局2200C之圖。與圖22B中所示之布局2200B相比,端至端部分2330A及2330B具有實質上矩形形狀而非兩次彎曲形狀。由金屬線2220A及2240A、端至端部分2330A以及金屬線2070及2090形成之結構元件類似於參考圖2C及圖4C描述的對應金屬線220及240、端至端部分330以及金屬線170及190。由金屬線2220B及2240B、端至端部分2330B以及金屬線2070及2090形成之結構元件類似於參考圖2C及圖4C描述之對應金屬線220及240、端至端部分330以及金屬線170及190,惟在X方向上反向除外。
圖22D為根據一些實施例的包括直至圖23中所示之BEOL部分2206中的電路1806(展示於圖19中)之金屬層M1之層的另一布局2200D之圖。與圖22B中所示之用於單圖案化之布局2200B中之端至端部分230A及230B相比,端至端部分830A為用於多圖案化之形狀831A及833A之聯合,且端至端部分830B為用於多圖案化之形狀831B及833B之聯合。金屬線820A及840A、端至端部分830A類似於參考圖8描述之對應金屬線820及840、聯合830。金屬線820B及840B、端至端部分830B類似於參考圖8描述之對應金屬線820及840、聯合830,惟在X方向上反向除外。
圖23為根據一些實施例的對應於圖20中所示之線H-H'、圖21中所示之線I-I'以及22A中所示之線J-J'截取的電路1806(展示於圖19中)之橫截面2202之圖。圖23說明橫截面2202包括電路1806之FEOL部分2204及BEOL部分2206兩者。為簡單起見,使用與對應圖20、圖21及圖22A中之布局2000、2002及2200A中之對應形狀相同的參考數字標註橫截面2202中之結構元件。
FEOL部分2204包括基板2001、介電隔離結構2003、閘極結構2022及2024以及源極或汲極觸點2034及2042。介電隔離結構2003形成 於基板2001上。閘極結構2022及2024形成於介電隔離結構2003上。源極或汲極觸點2034及2042形成於介電隔離結構2003上。BEOL部分2206包括在導通孔層via0中之導通孔2044及端至端部分630A,在金屬層M1中之金屬線620A以及在金屬層M2中之金屬線2092、2094及2096。導通孔2044將金屬線620A耦接至觸點2042。金屬線620A及端至端部分630A類似於參考圖3描述之對應金屬線120及端至端部分130。
圖24為根據一些實施例的包括圖23中所示之BEOL部分2206中的直至金屬層M1之電路1806(展示於圖19中)之層以及金屬層M2中的電路1806之端至端部分2080之層的布局2400之圖。圖24說明用於使用金屬層M2中之端至端部分2080以及導通孔層via1中之導通孔2075及2085耦接之較高電力供應節點CVdd之金屬線2070及2090。除了陣列單元SC81之BEOL部分之外,較高電力供應節點CVdd進一步包括在IO電路1804之對應BEOL部分中之金屬線2090以及跨越陣列單元SC81之BEOL部分及IO電路1804之BEOL部分之端至端部分2080及導通孔2075及2085。由金屬線620A及640A、端至端部分630A、金屬線2070及2090、端至端部分2080以及導通孔2075及2085形成之結構元件類似於參考圖16描述之對應金屬線120及140、端至端部分130、金屬線170及190、端至端部分1080以及導通孔1075及1085。由金屬線620B及640B、端至端部分630B、金屬線2070及2090、端至端部分2080以及導通孔2075及2085形成之結構元件類似於參考圖16描述之對應金屬線120及140、端至端部分130、金屬線170及190、端至端部分1080以及導通孔1075及1085,只為在X方向上反向。
圖25為根據一些實施例的包括圖26中所示之BEOL部分2506中的直至金屬層M1之電路1806(展示於圖19中)之層以及圖26中所示之FEOL部分2504中之接觸層中的額外部分2536A及2536B之布局2500之 圖。與圖22A中所示之布局2200A相比,布局2500進一步包括在接觸層中且耦接至端至端部分630A之額外部分2536A以及在接觸層中且耦接至端至端部分630B之額外部分2536B。在一些實施例中,額外部分2536A不延伸至金屬線620A及640A,且額外部分2536B不延伸至金屬線620B及640B以避免干擾接觸層中之其他結構。端至端部分630A及額外部分2536A類似於參考圖5描述之端至端部分130及額外部分536。端至端部分630B及額外部分2536B類似於參考圖5描述之端至端部分130及額外部分536,惟在X方向上反向除外。
圖26為根據一些實施例的對應於圖20中所示之線H-H'、圖21中所示之線I-I'以及圖25中所示之線K-K'截取的電路1806(展示於圖19中)之橫截面2502之圖。與圖23中之橫截面2202相比,橫截面2502進一步包括在接觸層中之額外部分2536A。額外部分2536A形成於介電隔離結構2003上方及端至端部分630A下方,且耦接至端至端部分630A。
圖27為根據一些實施例的包括圖30中所示之FEOL部分2904中的陣列單元SC81(指示於圖19中)之層以及BEOL部分2906中的陣列單元SC81之導通孔層via0之布局2700之圖。與圖20中所示之布局2000(其中N-FET PG1及PD1以及N-FET PG2及PD2為單鰭片式之)相比,布局2700中之N-FET PG1及PD1以及N-FET PG2及PD2為雙鰭片式的。圖27中所示之P-FET PU1及PU2具有與圖20中所示之對應P-FET PU1及PU2相同之結構,且使用與圖20中所示之P-FET PU1及PU2之元件相同的參考數字加以標註。出於說明之目的,用於N-FET SPG1及SPD1或N-FET SPG2及SPD2之鰭片結構之數目為二。用於P-FET SPU1及SPU2之鰭片結構之數目為一。用於N-FET SPG1及SPD1或N-FET SPG2及SPD2之鰭片結構之另一數目以及用於P-FET SPU1及SPU2之鰭片結構之另一數目在本發明之涵蓋範圍內。
對於N-FET PG1,閘極包括包圍鰭片結構2715中的N-FET PG1之 通道區域及鰭片結構2717中的N-FET PG1之通道區域之閘極結構2724。閘極結構2724對應於圖20中所示之閘極結構2024。鰭片結構2715及2717對應於圖20中所示之鰭片結構2016。字線WL8耦接至導通孔2748,該導通孔又耦接至與閘極結構2724接觸之閘極觸點2746。導通孔2748及閘極觸點2746對應於圖20中所示之對應導通孔2048及閘極觸點2046。另外,N-FET PG1之第一源極或汲極包括在形成於閘極結構2724之一側上且使用觸點2734耦接在一起之鰭片結構2715及2717中的第一源極或汲極區。N-FET PG1之第二源極或汲極包括在形成於閘極結構2724之另一側上且使用觸點2742耦接在一起之鰭片結構2715及2717中之第二源極或汲極區。觸點2734及2742對應於圖20中所示之對應觸點2034及2042。位元線BL1耦接至導通孔2744,該導通孔又耦接至與鰭片結構2715及2717中之第二源極或汲極區接觸之觸點2742。導通孔2744對應於圖20中所示之導通孔2044。
對於N-FET PD1,閘極包括包圍鰭片結構2715中之N-FET PD1之通道區域及鰭片結構2717中之N-FET PD1之通道區域的閘極結構2722。閘極結構2722對應於圖20中所示之閘極結構2022。另外,N-FET PD1之源極包括在形成於閘極結構2722之一側上且使用觸點2738耦接在一起之鰭片結構2715及2717中之源極區。觸點2738對應於圖20中所示之觸點2038。N-FET PD1之汲極包括在形成於閘極結構2722之另一側上且使用觸點2734耦接在一起之鰭片結構2715及2717中的汲極區。用於鰭片結構2715及2717中之N-FET PG1的第一源極或汲極區與用於鰭片結構2715及2717中之N-FET PD1的汲極區共用。觸點2734亦在N-FET PG1與N-FET PD1之間共用。較低電力供應節點CVss耦接至導通孔2740,該導通孔又耦接至與鰭片結構2715及2717中之N-FET PD1之源極區接觸的觸點2738。導通孔2740對應於圖20中所示之導通孔2040。
對於N-FET PG2,閘極包括包圍鰭片結構2719中之N-FET PG2之通道區域及鰭片結構2721中之N-FET PG2之通道區域的閘極結構2728。閘極結構2728對應於圖20中所示之閘極結構2028。鰭片結構2719及2721對應於圖20中所示之鰭片結構2020。字線WL8耦接至導通孔2768,該導通孔又耦接至與閘極結構2728接觸之閘極觸點2766。導通孔2768及閘極觸點2766對應於圖20中所示之對應導通孔2068及閘極觸點2066。另外,N-FET PG2之第一源極或汲極包括在形成於閘極結構2728之一側上且使用觸點2754耦接在一起之鰭片結構2719及2721中的第一源極或汲極區。N-FET PG2之第二源極或汲極包括在形成於閘極結構2728之另一側上且使用觸點2762耦接在一起之鰭片結構2719及2721中的第二源極或汲極區。觸點2754及2762對應於圖20中所示之對應觸點2054及2062。互補位元線BLB1耦接至導通孔2764,該導通孔又耦接至與鰭片結構2719及2721中之第二源極或汲極區接觸的觸點2762。導通孔2764對應於圖20中所示之導通孔2064。
對於N-FET PD2,閘極包括包圍鰭片結構2719中的N-FET PD2之通道區域及鰭片結構2721中的之N-FET PD2之通道區域之閘極結構2726。閘極結構2726對應於圖20中所示之閘極結構2026。另外,N-FET PD2之源極包括在形成於閘極結構2726之一側上且使用觸點2758耦接在一起的鰭片結構2719及2721中之源極區。觸點2758對應於圖20中所示之觸點2058。N-FET PD2之汲極包括在形成於閘極結構2726之另一側上且使用觸點2754耦接在一起之鰭片結構2719及2721中之汲極區。用於鰭片結構2719及2721中之N-FET PG2之第一源極或汲極區與用於鰭片結構2719及2721中之N-FET PD2之汲極區共用。觸點2754亦在N-FET PG2與N-FET PD2之間共用。較低電力供應節點CVss耦接至導通孔2760,該導通孔又耦接至與鰭片結構2719及2721中的N-FET PD2之源極區接觸之觸點2758。導通孔2760對應於圖20中所示之導通 孔2060。
圖28為根據一些實施例的包括圖30中之BEOL部分2906中的陣列單元SC81(指示於圖19中)之層以及圖30中所示之橫截面2902中不可見的陣列單元SC81之金屬層M3之布局2702之圖。較低電力供應節點CVss包括在金屬層M1中之金屬線2774及2776,在導通孔層via1中之導通孔2784及2786以及在金屬層M2中之金屬線2792,在導通孔層via2中之導通孔2798以及在金屬層M3中之金屬線2800。金屬線2774、導通孔2784、金屬線2792及導通孔2798對應於圖20中所示之著陸襯墊2074、導通孔2084、金屬線2094及導通孔2100。金屬線2776、導通孔2786、金屬線2792及導通孔2798對應於圖20中所示之著陸襯墊2076、導通孔2086、金屬線2092及導通孔2098。金屬線2800對應於圖20中所示之金屬線2102。與圖21中所示之布局2002相比,替代於耦接至金屬層M2中之對應金屬線2092及2094之金屬層M1中之著陸襯墊2074及2076在長度上實質上沿著X方向延伸,金屬線2774及2776在金屬層M1中在長度上實質上沿著Y方向延伸,且耦接至在金屬層M2中在長度上實質上沿著X方向延伸之一個金屬線2792。歸因於用於較低電力供應節點CVss之金屬線2774及2776之配置,用於較低電力供應節點CVss之一個金屬線2792存在於金屬層M2中,而非在圖21中所示之布局2002中之兩個金屬線2092及2094。
位元線BL1包括在金屬層M1中在長度上實質上沿著Y方向延伸之金屬線620C。互補位元線BLB1包括在金屬線M1中在長度上實質上沿著Y方向延伸之金屬線620D。金屬線620C及620D對應於圖20中所示之對應金屬線620A及620B。字線WL8包括在金屬層M1中之著陸襯墊2772及2778,在導通孔層via1中之導通孔2782及2788,以及在金屬層M2中之金屬線2796。金屬線2796在長度上實質上沿著X方向延伸,且經由對應導通孔2748及2788以及對應著陸襯墊2772及2778耦接至導通 孔層via0中之導通孔2748及2768。著陸襯墊2772及2778、導通孔2782及2788以及金屬線2796對應於圖20中所示之對應著陸襯墊2072及2078、導通孔2082及2088以及金屬線2096。在一些實施例中,較高電力供應節點CVdd之金屬線2070位於金屬層M1中,且較低電力供應節點CVss之金屬線2774及2776位於金屬層M1中以實現位元線BL1及互補位元線BLB1之電容減小。
圖29為根據一些實施例的包括圖30中所示之BEOL部分2906中的直至金屬層M1之電路1806(展示於圖19中)之層的布局2900之圖。與圖22A中所示之布局2200A相比,用於布局2900之陣列單元SC81之BEOL部分為自圖28中所示之布局2702而非自圖21中所示之布局2002獲得。位元線BL1包括金屬線620C、端至端部分630C及金屬線640C。金屬線620C、端至端部分630C及金屬線640C對應於圖22A中所示之對應金屬線620A、端至端部分630A及金屬線640A。互補位元線BLB1包括金屬線620D、端至端部分630D及金屬線640D。金屬線620D、端至端部分630D及金屬線640D對應於圖22A中所示之對應金屬線620B、端至端部分630B及金屬線640B。
圖30為根據一些實施例的對應於圖27中所示之線L-L'、圖28中所示之線M-M'以及圖29中所示之線N-N'截取的電路1806(展示於圖19中)之橫截面2902之圖。圖30說明橫截面2902包括電路1806之FEOL部分2904及BEOL部分2906兩者。為簡單起見,使用與對應圖27、圖28及圖29中之布局2700、2702及2900中之對應形狀相同之參考數字標註橫截面2902中之結構元件。
FEOL部分2904包括基板2701、介電隔離結構2703、閘極結構2722及2724以及源極或汲極觸點2734及2742。介電隔離結構2703形成於基板2701上。閘極結構2722及2724形成於介電隔離結構2703上。源極或汲極觸點2734及2742形成於介電隔離結構2703上。BEOL部分 2906包括在導通孔層via0中之導通孔2744及端至端部分630C,在金屬層M1中之金屬線620C以及在金屬層M2中之金屬線2792及2796。導通孔2744將金屬線620C耦接至觸點2742。金屬線620C及端至端部分630C類似於參考圖3描述之對應金屬線120及端至端部分130。
圖31為根據一些實施例的包括圖33中所示之BEOL部分3106中的直至金屬層M2之電路1806(展示於圖19中)之層的有效布局3100之圖。有效布局3100展示金屬層M2作為圖32中所示之實際布局中的金屬層M2與切割層之差異結果。與圖29中所示之布局2900相比,位元線BL1包括用於將金屬線620C及640C耦接在一起之在金屬層M2中之端至端部分1430C以及在導通孔層via1中之導通孔1425C及1435C。互補位元線BLB1包括用於將金屬線620D及640D耦接在一起之在金屬層M2中之端至端部分1430D以及在導通孔層via1中之導通孔1425D及1435D。此外,較高電力供應節點CVdd包括在金屬層M1中之金屬線2070及2090以及與金屬線2070及2090重疊的在導通孔層via0中之端至端部分3180。由金屬線620C及640C、端至端部分1430C、導通孔1425C及1435C、金屬線2070及2090以及端至端部分3180形成之結構元件類似於參考圖17描述之對應金屬線120及140、端至端部分1030、導通孔1025及1035、金屬線170及190以及端至端部分180。由金屬線620D及640D、端至端部分1430D、導通孔1425D及1435D、金屬線2070及2090以及端至端部分3180形成之結構元件類似於參考圖17描述的對應金屬線120及140、端至端部分1030、導通孔1025及1035、金屬線170及190以及端至端部分180,惟在X方向上反向除外。在一些實施例中,位元線BL1之金屬線620C及640C以及互補位元線BLB1之金屬線620D及640D實施於金屬層M1或互連層當中之較薄最低層級金屬層中以用於減小位元線BL1及互補位元線BLB1上之電容。
圖32為根據一些實施例的自其獲得圖31中所示之有效布局3100 之實際布局3200之圖。在長度上實質上沿著X方向延伸以與金屬線620C及620D重疊且被金屬線620C及640C之相對側上的切割線3227及3228切割之金屬線3226有效地構成端至端部分1430C。類似地,被金屬線620D及640D之相對側上的切割線3228及切割線3229切割之金屬線3226有效地構成端至端部分1430D。金屬線620C及640C、金屬線3226以及切割線3227及3228類似於圖14中所示之對應金屬線620及640、金屬線1426以及切割線1427及1428。金屬線620D及640D、金屬線3226以及切割線3228及3229類似於圖14中所示之對應金屬線620及640、金屬線1426以及切割線1427及1428,惟在X方向上反向除外。
圖33為根據一些實施例的對應於圖27中所示之線L-L'、圖28中所示之線M-M'及圖31中所示之線O-O'截取的電路1806(展示於圖19中)之橫截面3102之圖。與圖30中所示之橫截面2902相比,橫截面3102包括在金屬線620C上方且通過導通孔1425C耦接至金屬線620C之端至端部分1430C而非在金屬線620C下方且直接耦接至金屬線620C之端至端部分630C。金屬線620C、導通孔1425C及端至端部分1430C類似於參考圖12描述之對應金屬線120、導通孔1025及端至端部分1030。
雙端口SRAM巨集
圖34為根據一些實施例的包括雙端口SRAM單元SCDP及IO電路3404之對應部分的電路3406之電路圖。與圖19中所示之電路1806中之單端口單元SC81相比,雙端口SRAM單元SCDP包括大體類似於電路1806中之單端口單元SC81之部分3408及不包括於電路1806中之部分3410。部分3408包括用於寫入之輸入資料線WT及互補輸入資料線WC,且部分3410包括用於讀取之讀取位元線RBL。存取線之類型亦包括例如讀取位元線RBL等讀取位元線。部分3408中之與電路1806中之元件相同之元件使用相同參考數字加以標註。陣列單元SCDP之部分3410進一步包括N-FET RPD1及N-FET RPG1。N-FET RPD1具有耦接至 儲存節點SN之閘極、耦接至較低電力供應節點CVss之源極及耦接至N-FET RPG1之第一源極或汲極的汲極。N-FET RPG1具有耦接至讀取字線RWL之閘極、耦接至N-FET RPD1之汲極的第一源極或汲極及耦接至讀取位元線RBL之第二源極或汲極。與電路1806中之IO電路1804相比,電路3406中之IO電路3404不包括用於部分3408之P-FET Q1至Q6,且包括用於部分3410之P-FET Q8。P-FET Q8之閘極耦接至控制線BLPC,P-FET Q8之源極耦接至預充電電壓VPC,且P-FET Q8之汲極耦接至讀取位元線RBL。在讀取操作之前的預充電操作期間,控制線BLPC處之信號接通P-FET Q8以對讀取位元線RBL進行預充電。
圖35為根據一些實施例的包括類似於圖30中所示之FEOL部分2904之FEOL部分中之陣列單元SCDP(指示於圖34中)之層以及類似於圖30中所示之BEOL部分2906之BEOL部分中的陣列單元SCDP之導通孔層via0之布局3500之圖。布局3500包括如圖27中所示用於陣列單元SCDP之部分3408之布局2700及用於陣列單元SCDP之部分3410之布局3502。在布局3500中,對應於用於P-FET PU2及N-FET PD2之閘極結構2726之閘極結構3526在長度上自布局2700延伸至布局3502中以亦充當用於N-FET RPD1之閘極結構。在布局3502中,對於N-FET RPD1,閘極由穿越鰭片結構3504、3506及3508之閘極結構3526形成。源極由用於在閘極結構3526之一側上且通過觸點3512耦接之鰭片結構3504、3506及3508中的N-FET RPD1之源極區形成。汲極由用於在閘極結構3526之另一側上且通過觸點3516耦接之鰭片結構3504、3506及3508中的N-FET RPD1之汲極區形成。較低電力供應節點CVss耦接至導通孔層via0中之導通孔3514,該導通孔又耦接至觸點3512。對於N-FET RPG1,閘極由穿越鰭片結構3504、3506及3508之閘極結構3510形成。讀取字線RWL經由導通孔層via0中之導通孔3524及觸點3522耦接至閘極結構3510。第一源極或汲極由用於在閘極結構3510之一側上且 與鰭片結構3504、3506及3508中之N-FET RPD1之汲極區共用的鰭片結構3504、3506及3508中之N-FET RPG1之第一源極或汲極區形成。第二源極或汲極由用於在閘極結構3510之另一側上且通過觸點3518耦接在一起之鰭片結構3504、3506及3508中之N-FET RPG1之第二源極或汲極區形成。讀取位元線RBL耦接至導通孔層via0中之導通孔3520,該導通孔又耦接至觸點3518。
圖36為根據一些實施例的圖34中所示之電路3406之布局3600之圖。布局3600包括如圖29中所示用於部分3408之布局2900及用於部分3410之布局3602。布局3410包括該陣列單元SCDP之部分及用於IO電路3404之部分。讀取位元線RBL包括在用於陣列單元SCDP之部分中之金屬線3620、在用於IO電路3404之部分中的金屬線3640及端至端部分3630。金屬線3620及3640以及端至端部分3630類似於圖29中之布局2900中的對應金屬線620D及640D以及端至端部分630D。
圖37為根據其他實施例的圖34中所示之電路3406之布局3700之圖。與圖36中所示之布局3600相比,用於部分3410之布局3702具有讀取位元線RBL,該讀取位元線RBL包括實質上沿著Y方向自用於陣列單元SCDP之部分延伸至用於IO電路3404之部分的金屬線3720。
每一SRAM巨集具有使用參考圖1至圖15D描述之任何互連結構實施之垂直線。舉例而言,垂直線為位元線BL1、互補位元線BLB1或讀取位元線RBL。垂直線包括在陣列單元之一部分及IO電路之一部分中的未對準金屬線。具有使用類似於參考圖1至圖15D描述之任何互連結構的互連結構實施之水平線之其他SRAM巨集在本發明之涵蓋範圍內。舉例而言,水平線為參考圖18及圖19描述之字線WL8。水平線包括在陣列單元之一部分及字線解碼電路之一部分中的未對準金屬線。此外,每一SRAM巨集具有使用具有水平延行鰭片結構之FinFET形成之P-FET及N-FET。諸如使用全部圍繞具有水平或垂直延行奈米線之 FET之閘極的SRAM巨集的其他SRAM巨集在本發明之涵蓋範圍內。此外,具有使用參考圖1至圖17描述的任何互連結構之垂直線或水平線之其他電路在本發明之涵蓋範圍內。其他電路包括例如具有邏輯陣列之電路陣列、動態隨機存取記憶體(DRAM)巨集、像素陣列,等。
一些實施例具有所描述特徵及/或優勢中之一者或組合。諸如SRAM巨集等電路之布局包括柵格上部分(諸如具有佈線於柵格上以節省OPC之執行時間之金屬線的存取電路)及不在柵格上之部分(諸如具有不佈線於柵格上以節省晶片區域之金屬線之陣列單元陣列)。此外,佈線於柵格上之金屬線可實現最佳化之電路速度或電路密度。SRAM巨集中跨越柵格上部分及不在柵格上之部分延伸之金屬線之實例包括位元線對及字線。在其他方法中,為連接歸因於佈線在柵格上及不佈線在柵格上而未對準之金屬線,使用彎曲或急轉金屬佈線。然而,對於進階技術節點,由於間隔物微影製程限制,用於不在柵格上之部分之金屬線基於限制性佈線規則進行佈線,該限制性佈線規則需要金屬線為單向之且具有固定及緊密之金屬間距,且禁止彎曲或急轉之金屬佈線。因此,用於柵格上部分之金屬線不能夠直接連接至用於不在柵格上之部分的金屬線。結果,在一些實施例中,通過包括在第一互連層下方或上方之第二互連層中之端至端部分的連接結構耦接第一互連層中之未對準金屬線。因此,第一互連層中之未對準金屬線仍遵守限制性佈線規則以適應微影工具之限制,同時保留通過第一互連層中之不在柵格上之佈線實現的面積節省益處。此外,在一些實施例中,在第一互連層下方實施之端至端部分為單鑲嵌導通孔。在一些實施例中,端至端部分具有彎曲形狀。在一些實施例中,通過使未對準金屬線朝向彼此延伸而使得端至端部分與未對準金屬線之延伸超出對應鄰近金屬線之部分重疊且加寬端至端部分在其與未對準金屬線重疊處之寬度而減小端至端部分之電阻。在一些實施例中,通過在不同於 第一互連層及第二互連層之互連層中添加額外部分且將該額外部分耦接至端至端部分而減小端至端部分之電阻。在一些實施例中,端至端部分具有矩形形狀。在一些實施例中,在互連層上方實施之端至端部分為通過插入其間之導通孔耦接至未對準金屬線之金屬線。
在一些實施例中,一種互連結構包括第一金屬線、第二金屬線及第一連接結構。該第一金屬線形成於第一互連層中,在長度上實質上沿著第一方向延伸且在第一末端部分處結束。該第二金屬線形成於該第一互連層中,自第二末端部分開始且在長度上實質上沿著該第一方向而延伸。該第二金屬線與該第一金屬線在該第一方向上未對準。該第一連接結構將該第一金屬線耦接至該第二金屬線。該第一連接結構包括形成於不同於該第一互連層之第二互連層中之第一端對端部分,且與該第一末端部分及該第二末端部分重疊。
在一些實施例中,一種半導體晶片包括陣列單元、存取電路及第一連接結構。該陣列單元包括形成於第一互連層中且實質上沿著第一方向延伸之第一金屬線。該存取電路經組態以存取該陣列單元,且包括形成於第一互連層中且實質上沿著第一方向延伸之第二金屬線。該第一連接結構使用不同於第一互連層之第二互連層將第一金屬線耦接至第二金屬線。耦接之第一金屬線、第二金屬線及第一連接結構充當陣列單元之存取線。
在一些實施例中,一種布局包括第一互連層及第二互連層。該第一互連層包括第一金屬線及第二金屬線。該第一金屬線在長度上實質上沿著第一方向延伸且在第一末端部分處結束。該第二金屬線自第二末端部分開始,在長度上實質上沿著第一方向延伸。該第二金屬線在第一方向上與第一金屬線未對準。第二互連層不同於第一互連層。第二互連層包括與第一末端部分及第二末端部分重疊之第一形狀。
前文概述若干實施例的特徵以使得一般熟習此項技術者可更好 地理解本發明之各方面。一般熟習此項技術者應理解,其可易於使用本發明作為設計或修改用於實現本文中所引入之實施例的相同目的及/或獲得相同優點之其他過程及結構之基礎。一般熟習此項技術者亦應認識到,此類等效構造並不脫離本發明之精神及範疇,且其可在不脫離本發明之精神及範疇之情況下在本文中進行各種改變、替代及更改。
100‧‧‧互連結構
120‧‧‧金屬線
124‧‧‧連接結構
130‧‧‧端至端部分
140‧‧‧金屬線
M1‧‧‧金屬層
Via0‧‧‧導通孔層

Claims (10)

  1. 一種互連結構,其包含:一第一金屬線,其形成於一第一互連層中,在長度上實質上沿著一第一方向延伸且在一第一末端部分處結束;一第二金屬線,其形成於該第一互連層中,自一第二末端部分開始,在長度上實質上沿著該第一方向延伸且在該第一方向上與該第一金屬線未對準;及一第一連接結構,其將該第一金屬線耦接至該第二金屬線,其中該第一連接結構包含形成於不同於該第一互連層之一第二互連層中的一第一端至端部分,且與該第一末端部分及該第二末端部分重疊。
  2. 如請求項1之互連結構,其中該第一端至端部分為一單鑲嵌導通孔。
  3. 如請求項1之互連結構,其中該第一端至端部分具有至少包含一第一拐角及一第二拐角之一形狀;該第一拐角自實質上沿著該第一方向彎曲至實質上沿著實質上正交於該第一方向之一第二方向;且該第二拐角自實質上沿著該第一方向彎曲至實質上沿著該第二方向。
  4. 如請求項1之互連結構,其中該第一端至端部分具有一實質上矩形形狀,其一寬度跨越該第一末端部分之一寬度及該第二末端部分之一寬度。
  5. 如請求項1之互連結構,其中 該第一端至端部分為一第三金屬線;且該第一連接結構進一步包含:一第一導通孔,其將該第一端至端部分耦接至該第一金屬線;及一第二導通孔,其將該第一端至端部分耦接至該第二金屬線。
  6. 如請求項1之互連結構,其中該第一端至端部分在該第一端至端部分與該第一末端部分重疊處之一寬度比該第一末端部分之一寬度寬至少約10%。
  7. 如請求項1之互連結構,其進一步包含:一第三金屬線,其形成於該第一互連層中且在長度上實質上沿著該第一方向延伸,其中該第一末端部分之至少一部分在該第一方向上超出該第三金屬線。
  8. 一種半導體晶片,其包含:一陣列單元,其包含:一第一金屬線,其形成於一第一互連層中且實質上沿著一第一方向延伸;一存取電路,其經組態以存取該陣列單元且包含:一第二金屬線,其形成於該第一互連層中且實質上沿著該第一方向延伸;及一第一連接結構,其使用不同於該第一互連層之一第二互連層將該第一金屬線耦接至該第二金屬線,其中該耦接之第一金屬線、該第二金屬線與該第一連接結構充當該陣列單元之一存取線。
  9. 如請求項8之半導體晶片,其中該第一端至端部分具有至少包含一第一拐角及一第二拐角之一形狀;該第一拐角自實質上沿著該第一方向彎曲至實質上沿著實質上正交於該第一方向之一第二方向;且該第二拐角自實質上沿著該第一方向彎曲至實質上沿著該第二方向。
  10. 如請求項8之半導體晶片,其中該第一連接結構包含與該第一金屬線及該第二金屬線重疊之一單鑲嵌導通孔。
TW104143512A 2014-12-26 2015-12-24 具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局 TWI600142B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462096978P 2014-12-26 2014-12-26
US14/978,487 US9583438B2 (en) 2014-12-26 2015-12-22 Interconnect structure with misaligned metal lines coupled using different interconnect layer

Publications (2)

Publication Number Publication Date
TW201635494A true TW201635494A (zh) 2016-10-01
TWI600142B TWI600142B (zh) 2017-09-21

Family

ID=56116797

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143512A TWI600142B (zh) 2014-12-26 2015-12-24 具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局

Country Status (5)

Country Link
US (2) US9583438B2 (zh)
KR (1) KR101780872B1 (zh)
CN (1) CN105762110B (zh)
DE (1) DE102015122667B4 (zh)
TW (1) TWI600142B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108022905A (zh) * 2016-11-04 2018-05-11 超威半导体公司 使用多个金属层的转接板传输线
US9825032B1 (en) 2016-11-23 2017-11-21 Globalfoundries Inc. Metal layer routing level for vertical FET SRAM and logic cell scaling
US10249496B2 (en) * 2017-05-05 2019-04-02 Globalfoundries Inc. Narrowed feature formation during a double patterning process
US10325845B2 (en) * 2017-06-21 2019-06-18 Qualcomm Incorporated Layout technique for middle-end-of-line
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
KR102335107B1 (ko) 2017-10-16 2021-12-03 삼성전자 주식회사 로우 디코더를 포함하는 비휘발성 메모리 장치
US10678142B2 (en) 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
CN109994475B (zh) * 2018-01-03 2022-07-05 蓝枪半导体有限责任公司 半导体元件与半导体装置
US10916498B2 (en) 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US10418368B1 (en) 2018-07-10 2019-09-17 Globalfoundries Inc. Buried local interconnect in source/drain region
US11055464B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10867108B2 (en) 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10866505B2 (en) 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US10727237B2 (en) 2018-09-27 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11092899B2 (en) 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
KR102601866B1 (ko) * 2019-01-16 2023-11-15 에스케이하이닉스 주식회사 반도체 장치
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US10978460B2 (en) 2019-04-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
US11295056B2 (en) 2020-01-31 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Vertex-based OPC for opening patterning
US11137691B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fixing blank mask defects by revising layouts
TWI746332B (zh) * 2020-12-30 2021-11-11 華邦電子股份有限公司 半導體連接結構及其製造方法
US11587872B2 (en) 2021-02-12 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for improving memory performance and/or logic performance
US11610043B2 (en) 2021-03-05 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning based model builder and its applications for pattern transferring in semiconductor manufacturing

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375489B1 (en) 2000-01-10 2002-04-23 Miraco, Inc. Dynamic contact orientating universal circuit grabber
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
JP4082236B2 (ja) * 2003-02-21 2008-04-30 ソニー株式会社 半導体装置及びその製造方法
CN101727429B (zh) 2005-04-21 2012-11-14 提琴存储器公司 一种互连系统
CN1988146A (zh) * 2005-12-22 2007-06-27 中芯国际集成电路制造(上海)有限公司 哑元图案和机械增强低k介电材料的制造方法
KR100782488B1 (ko) * 2006-08-24 2007-12-05 삼성전자주식회사 매립 배선들을 갖는 반도체소자 및 그 제조방법
CN101232037A (zh) * 2008-02-26 2008-07-30 中国科学院上海微系统与信息技术研究所 多层次相变存储阵列与下层外围电路互连的方法
JP2009252805A (ja) * 2008-04-01 2009-10-29 Nec Electronics Corp 半導体集積回路、半導体集積回路のレイアウト方法およびレイアウトプログラム
KR101557102B1 (ko) * 2009-03-12 2015-10-13 삼성전자주식회사 반도체 소자의 금속 연결배선
US9385088B2 (en) * 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US8687437B2 (en) 2010-11-30 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuitry
US8630132B2 (en) 2011-05-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM read and write assist apparatus
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US9355910B2 (en) * 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8605523B2 (en) 2012-02-17 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking capacitive loads
US8964492B2 (en) 2012-07-27 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking mechanism for writing to a memory cell
US8760948B2 (en) 2012-09-26 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bitcells tracking scheme semiconductor memory array
US8982643B2 (en) 2012-12-20 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared tracking circuit
US9324413B2 (en) 2013-02-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuit, memory device and method
US8929160B2 (en) 2013-02-28 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking circuit
US9117510B2 (en) 2013-03-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit for memory write data operation
US9129707B2 (en) 2013-10-02 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port SRAM with dummy read recovery
US10431295B2 (en) 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US9281056B2 (en) 2014-06-18 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of using the same
US9218872B1 (en) 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
US9564211B2 (en) 2014-06-27 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory chip and layout design for manufacturing same
US9455025B2 (en) 2014-06-27 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US20160079167A1 (en) * 2014-09-12 2016-03-17 Qualcomm Incorporated Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods

Also Published As

Publication number Publication date
CN105762110B (zh) 2019-06-21
DE102015122667B4 (de) 2020-10-01
US20160190065A1 (en) 2016-06-30
DE102015122667A1 (de) 2016-06-30
CN105762110A (zh) 2016-07-13
US9865542B2 (en) 2018-01-09
KR101780872B1 (ko) 2017-09-22
US9583438B2 (en) 2017-02-28
KR20160079710A (ko) 2016-07-06
US20170133320A1 (en) 2017-05-11
TWI600142B (zh) 2017-09-21

Similar Documents

Publication Publication Date Title
TWI600142B (zh) 具有使用不同互連層連接之未對準金屬線之互連結構、半導體晶片及布局
US20210159237A1 (en) Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member
US9911744B2 (en) Methods and apparatus for SRAM cell structure
US9006841B2 (en) Dual port SRAM having reduced cell size and rectangular shape
US8598032B2 (en) Reduced number of masks for IC device with stacked contact levels
US8154128B2 (en) 3D integrated circuit layer interconnect
KR20220145927A (ko) 초미세 피치를 갖는 3차원 nor 메모리 어레이: 장치 및 방법
CN107564561B (zh) 存储器件、存储器阵列结构及其制造方法
TW202143472A (zh) 記憶體裝置及其製造方法
TWI763355B (zh) 記憶體元件及其製造方法
TWI773165B (zh) 半導體裝置和製造半導體裝置的方法
KR100868101B1 (ko) 반도체 메모리 장치
KR20180132310A (ko) 콘택 구조를 포함하는 반도체 소자
JP5751552B2 (ja) 積層した接続レベルを有する集積回路装置用マスク数の低減法
TWI575661B (zh) 具有鏡像落著區之多層三維結構
JP2004153003A (ja) 不揮発性半導体記憶装置
US8987907B2 (en) Semiconductor device
JP2015095596A (ja) スタック型3dメモリ
TWI837659B (zh) 具有台階接觸配置的三維電路結構
TW202322258A (zh) 積體晶片結構及其形成方法