DE102015104454A1 - Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements - Google Patents

Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements Download PDF

Info

Publication number
DE102015104454A1
DE102015104454A1 DE102015104454.4A DE102015104454A DE102015104454A1 DE 102015104454 A1 DE102015104454 A1 DE 102015104454A1 DE 102015104454 A DE102015104454 A DE 102015104454A DE 102015104454 A1 DE102015104454 A1 DE 102015104454A1
Authority
DE
Germany
Prior art keywords
fin
etched
finfet
device structure
fin structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102015104454.4A
Other languages
English (en)
Inventor
Zhe-Hao Zhang
Tung-Wen CHENG
Che-Cheng Chang
Yung-Jung Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015104454A1 publication Critical patent/DE102015104454A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Eine Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) und ein Verfahren zum Ausbilden einer FinFET-Bauelementstruktur sind bereitgestellt. Die FinFET-Struktur umfasst ein Substrat, und das Substrat umfasst ein Kerngebiet und ein I/O-Gebiet. Die FinFET-Struktur umfasst eine erste geätzte Finnenstruktur, die im Kerngebiet ausgebildet ist, und eine zweite geätzte Finnenstruktur, die im I/O-Gebiet ausgebildet ist. Die FinFET-Struktur umfasst ferner mehrere Gatestapelstrukturen, die über der ersten geätzten Firmenstruktur und der zweiten geätzten Firmenstruktur ausgebildet sind, und eine Breite der ersten geätzten Finnenstruktur ist kleiner als eine Breite der zweiten geätzten Firmenstruktur.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung ist eine Continuation-in-Part der anhängigen US-Patentanmeldung Serien-Nr. 14/517,209, die am 17. Oktober 2014 eingereicht wurde und den Titel „Fin field effect transistor (FinFET) device and method for forming the same” trägt, und beansprucht außerdem die Priorität der vorläufigen US-Anmeldung Nr. 62/075,015, die am 4. November 2014 eingereicht wurde, die jeweils in ihrer Gänze hier durch Rückbezug aufgenommen sind. Diese Anmeldung ist mit der ebenfalls anhängigen und gemeinsam übertragenen US-Patentanmeldung Serien-Nr. 14/517,310 verwandt, die am 17. Oktober 2014 eingereicht wurde und den Titel „Fin field effect transistor (FinFET) device and method for forming the same” trägt, und die in ihrer Gänze hier durch Rückbezug aufgenommen ist.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleiterbauelemente werden in einer Vielfalt von elektronischen Anwendungen, wie Personalcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, verwendet. Halbleiterbauelemente werden in der Regel gefertigt, indem sequenziell isolierende oder dielektrische Schichten, leitfähige Schichten und halbleitende Schichten aus einem Material über einem Halbleitersubstrat abgeschieden werden, und die verschiedenen Materialschichten unter Verwendung von Lithografie strukturiert werden, um Schaltungskomponenten und -elemente darauf auszubilden. Viele integrierte Schaltungen werden normalerweise auf einem einzelnen Halbleiter-Wafer hergestellt, und einzelne Dies (Nacktchips) auf dem Wafer werden durch Durchsägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die einzelnen Dies werden normalerweise separat, zum Beispiel in Multichip-Modulen oder in anderen Arten von Gehäusen, gehäust.
  • Da die Halbleiterindustrie zur Erzielung einer höheren Bauelementdichte, höheren Leistung und niedriger Kosten die Nanometer-Technologieknoten erreichte, führten Herausforderungen sowohl hinsichtlich der Herstellung als auch der Designprobleme zur Entwicklung von dreidimensionalen Ausgestaltungen, wie z. B. dem Fin-Feldeffekttransistor (FinFET). FinFETs werden mit einer dünnen vertikalen „Finne” (oder Finnen- oder Gratstruktur), die sich von dem Substrat erstreckt, gefertigt. Der Kanal des FinFETs wird in dieser vertikalen Finne ausgebildet. Ein Gate wird über der Finne bereitgestellt. Zu Vorteilen des FinFETs können eine Reduzierung der Kurzkanaleffekte und ein höherer Stromfluss gehören.
  • Obwohl bisherige FinFET-Bauelemente und Verfahren zum Fertigen von FinFET-Bauelementen für ihren vorgesehenen Zweck im Allgemeinen geeignet waren, waren sie nicht im Hinblick auf alle Aspekte vollkommen zufriedenstellend.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Zeichnungen gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.
  • 1 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 2A bis 2F zeigen Seitenansichten verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 3 ist eine vergrößerte Darstellung eines Bereichs A von 2F gemäß einigen Ausführungsformen der Offenbarung.
  • 4 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 5A bis 5C sind Querschnittsdarstellungen, die entlang der Linie AA von 4 gezeichnet sind.
  • 6A ist eine vergrößerte Darstellung eines Bereichs E von 5C gemäß einigen Ausführungsformen der Offenbarung.
  • 6B ist eine vergrößerte Darstellung eines Bereichs F von 5C gemäß einigen Ausführungsformen der Offenbarung.
  • 7 zeigt die Beziehung zwischen Zeit und Biasspannung des HVBP-Verfahrens (High-Voltage Bias Pulsing).
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des vorliegenden Gegenstands bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Einige Abwandlungen der Ausführungsformen sind beschrieben. In den verschiedenen Ansichten und Ausführungsbeispielen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach dem Verfahren vorgesehen werden können, und einige der beschriebenen Vorgänge für andere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden können.
  • Ausführungsformen zum Ausbilden einer Fin-Feldeffekttransistor-Bauelementstruktur oder -Einrichtung (FinFET-Bauelementstruktur) werden bereitgestellt. 1 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) 10 gemäß einigen Ausführungsformen der Offenbarung. Die FinFET-Bauelementstruktur 10 umfasst eine n-Kanal-FinFET-Bauelementstruktur (NMOS) 15 und eine p-Kanal-FinFET-Bauelementstruktur (PMOS) 25.
  • Die FinFET-Bauelementstruktur 10 umfasst ein Substrat 102. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien gefertigt werden. Alternativ oder zusätzlich kann das Substrat 102 andere Elementhalbleitermaterialien, wie z. B. Germanium, umfassen. In einigen Ausführungsformen wird das Substrat 102 aus einem Verbindungshalbleiter, wie z. B. Siliciumcarbid (SiC), Galliumarsenid (GaAs), Indiumarsenid (InAs) oder Indiumphosphid (InP) gefertigt. In einigen Ausführungsformen wird das Substrat 102 aus einem Legierungshalbleiter, wie z. B. Siliziumgermanium (SiGe), Silizium-Germanium-Carbid (SiGeC), Galliumarsenidphosphid (GaAsP) oder Galliumindiumphosphid (GaInP) gefertigt. In einigen Ausführungsformen umfasst das Substrat 102 eine epitaktische Schicht. Zum Beispiel weist das Substrat 102 eine epitaktische Schicht, die über einem Bulk-Halbleiter liegt.
  • Die FinFET-Bauelementstruktur 10 umfasst außerdem eine oder mehrere Finnenstrukturen 104 (z. B. Si-Finnen), die sich von dem Substrat 102 erstrecken. Die Firmenstruktur 104 kann fakultativ Germanium (Ge) umfassen. Die Finnenstruktur 104 kann unter Verwendung geeigneter Prozesse, wie z. B. eines fotolithografischen oder Ätzprozesses, ausgebildet werden. In einigen Ausführungsformen wird die Finnenstruktur 104 unter Verwendung eines Trockenätz- oder Plasmaprozesses vom Substrat 102 geätzt.
  • In einigen anderen Ausführungsformen kann die Finnenstruktur 104 mithilfe eines Doppelstrukturierungs-Lithografieprozesses (double-patterning lithography, DPL) ausgebildet werden. Ein DPL-Prozess ist ein Verfahren zum Gestalten einer Struktur auf einem Substrat, indem die Struktur in zwei ineinander verschachtelte Strukturen aufgeteilt wird. Ein DPL-Prozess ermöglicht eine erhöhte Merkmaldichte (z. B. Finnendichte).
  • Eine Isolationsstruktur 108, wie z. B. eine STI-Struktur (flache Grabenisolation), wird derart ausgebildet, dass sie die Finnenstruktur 104 umgibt. In einigen Ausführungsformen ist ein unterer Abschnitt der Finnenstruktur 104 durch die Isolationsstruktur 108 umgeben, und ein oberer Abschnitt der Finnenstruktur 104 steht von der Isolationsstruktur 108 hervor, wie in 1 dargestellt. Mit anderen Worten ist ein Abschnitt der Finnenstruktur 104 in die Isolationsstruktur 108 eingebettet. Die Isolationsstruktur 108 verhindert elektrische Störungen oder Übersprechen.
  • Die FinFET-Bauelementstruktur 10 umfasst außerdem eine Gatestapelstruktur, die eine Gateelektrode 110 und eine Gatedielektrikumsschicht (nicht dargestellt) umfasst. Die Gatestapelstruktur wird über einem mittleren Abschnitt der Finnenstruktur 104 ausgebildet. In einigen anderen Ausführungsformen werden mehrfache Gatestapelstrukturen über der Finnenstruktur 104 ausgebildet.
  • In einigen anderen Ausführungsformen ist die Gatestapelstruktur ein Dummy-Gatestapel und wird später durch ein Metallgate (MG) ersetzt, nachdem Prozesse mit hohem thermischem Budget durchgeführt wurden.
  • Die Gatedielektrikumsschicht (nicht dargestellt) kann dielektrische Materialien, wie z. B. Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, dielektrisches Material (dielektrische Materialien) mit hoher Dielektrizitätskonstante (high-k), oder Kombinationen davon umfassen. Zu Beispielen von High-k-Dielektrikumsmaterialien gehören Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, eine Legierung aus Hafniumdioxid und Aluminiumoxid, Hafnium-Siliziumoxid, Hafnium-Siliziumoxynitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid, dergleichen oder Kombinationen davon.
  • Die Gateelektrode 110 kann Polysilizium oder ein Metall umfassen. Das Metall umfasst Tantalnitrid (TaN), Nickel-Silizium (NiSi), Kobalt-Silizium (CoSi), Molybdän (Mo), Kupfer (Cu), Wolfram (W), Aluminium (Al), Kobalt (Co), Zirkonium (Zr), Platin (Pt) oder andere geeignete Materialien. Die Gateelektrode 110 kann in einem Gate-Zuletzt-Prozess (gate last process) (oder einem Gateaustauschprozess) ausgebildet werden. In einigen Ausführungsformen umfasst die Gatestapelstruktur zusätzliche Schichten, wie z. B. Grenzflächenschichten, Abdeckschichten, Diffusions-/Sperrschichten oder andere geeignete Schichten.
  • Die Gatestapelstruktur wird mithilfe eines Abscheidungsprozesses, eines fotolithografischen Prozesses und eines Ätzprozesses ausgebildet. Der Abscheidungsprozess umfasst chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische CVD unter Verwendung von hochdichtem Plasma (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaunterstützte CVD (PECVD), Plattieren, andere geeignete Verfahren und/oder Kombinationen davon. Der fotolithografische Prozess umfasst ein Fotolackbeschichten (z. B. Rotationsbeschichten), Softbake, Maskenausrichten, Belichten, Backen nach der Belichtung, Entwickeln des Fotolacks, Spülen, Trocknen (z. B. Hardbake). Der Ätzprozess umfasst einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon. Alternativ wird der fotolithografische Prozess durch andere geeignete Verfahren, wie z. B. maskenlose Fotolithografie, Elektronenstrahlschreiben, Ionenstrahlschreiben, implementiert oder ersetzt.
  • 2A bis 2F zeigen Seitenansichten verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) gemäß einigen Ausführungsformen der Offenbarung. 2A bis 2F zeigen Seitenansichten, die entlang des Pfeils 1 von 1 gezeichnet wurden, und Pfeil 1 ist parallel zur X-Achse.
  • Unter Bezugnahme auf 2A wird eine erste Hartmaskenschicht 112 auf der Gateelektrode 110 ausgebildet, und eine zweite Hartmaskenschicht 114 wird auf der ersten Hartmaskenschicht 112 ausgebildet. In einigen Ausführungsformen wird die erste Hartmaskenschicht 112 aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder anderen geeigneten Materialien gefertigt. In einigen Ausführungsformen wird die zweite Hartmaskenschicht 114 aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder anderen geeigneten Materialien gefertigt.
  • Gateseitenwandspacer 115 werden auf den einander gegenüberliegenden Seitenwänden der Gateelektrode 110 ausgebildet, Finnenseitenwandspacer 105 werden auf den einander gegenüberliegenden Seitenwänden der Finnenstruktur 104 ausgebildet. Die Gateseitenwandspacer 115 und die Finnenseitenwandspacer 105 umfassen unabhängig voneinander dielektrische Materialien, wie z. B. Siliziumoxid, Siliziumnitrid, Siliciumcarbid (SiC), Siliziumoxynitrid oder Kombinationen davon.
  • Danach wird eine untere Antireflexbeschichtungsschicht (bottom anti-reflective coating, BARC) 202 auf den Gateseitenwandspacern 115 ausgebildet. Die BARC-Schicht 202 wird unter einer Fotolackschicht verwendet, um die Strukturübertragung auf die Hartmaskenschichten 112, 114 während eines Strukturierungsprozesses zu verbessern. Wenn die n-Kanal-FinFET-Bauelementstruktur (NMOS) 15 einem Implantationsprozess unterzogen wird, werden in einigen Ausführungsformen die BARC 202 und ein auf der BARC 202 ausgebildeter Fotolack (nicht dargestellt) auf der Gateelektrode 110 ausgebildet, um die Gateelektrode 110 in der p-Kanal-FinFET-Bauelementstruktur (PMOS) 25 abzudecken.
  • Danach werden der Fotolack (nicht dargestellt) und die BARC 202 mithilfe eines Ätzprozesses gemäß einigen Ausführungsformen der Offenbarung entfernt, wie in 2B dargestellt. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein. In einigen Ausführungsformen wird ein erster Trockenätzprozess bei einem Druck in einem Bereich von ungefähr 3 mTorr bis ungefähr 50 mTorr betrieben. In einigen Ausführungsformen umfasst das in dem ersten Trockenätzprozess verwendete Gas Methan (CH4), Stickstoff (N2), Helium (He), Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der erste Trockenätzprozess bei einer Leistung in einem Bereich von ungefähr 50 W bis ungefähr 1000 W betrieben. In einigen Ausführungsformen wird der erste Trockenätzprozess bei einer Temperatur in einem Bereich von ungefähr 20°C bis ungefähr 80°C betrieben.
  • Nachdem die BARC 202 entfernt wurde, werden ein Abschnitt der Gateseitenwandspacer 115 und ein Abschnitt der Finnenseitenwandspacer 105 gemäß einigen Ausführungsformen der Offenbarung entfernt, wie in 2C dargestellt. Insbesondere wird ein oberer Abschnitt der Gateseitenwandspacer 115 entfernt, um die zweite Hartmaskenschicht 114 freizulegen. Ein oberer Abschnitt der Finnenseitenwandspacer 105 wird entfernt, um die Finnenstruktur 104 freizulegen.
  • Wenn die Gateseitenwandspacer 115 und die Finnenseitenwandspacer 105 aus Siliziumnitrid gefertigt werden, wird in einigen Ausführungsformen ein zweiter Ätzprozess durchgeführt, um das Siliziumnitrid zu entfernen. In einigen Ausführungsformen ist der zweite Ätzprozess ein zweiter Trockenätzprozess und er wird bei einem Druck in einem Bereich von ungefähr 3 mTorr bis ungefähr 50 mTorr betrieben. In einigen Ausführungsformen umfasst das in dem zweiten Trockenätzprozess verwendete Gas Fluormethan (CH3F), Difluormethan (CH2F2), Methan (CH4), Argon (Ar), Bromwasserstoff (HBr), Stickstoff (N2), Helium (He), Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der zweite Trockenätzprozess bei einer Leistung in einem Bereich von ungefähr 50 W bis ungefähr 1000 W betrieben. In einigen Ausführungsformen wird der zweite Trockenätzprozess bei einer Temperatur in einem Bereich von ungefähr 20°C bis ungefähr 70°C betrieben.
  • Nach dem zweiten Trockenätzprozess weist jeder der Finnenseitenwandspacer 105 eine erste Höhe H1 auf. In einigen Ausführungsformen liegt die erste Höhe H1 in einem Bereich von ungefähr 0,1 nm bis ungefähr 50 nm.
  • Nachdem der Abschnitt der Gateseitenwandspacer 115 und der Abschnitt der Finnenseitenwandspacer 105 entfernt wurden, werden die verbleibenden Finnenseitenwandspacer 105 gemäß einigen Ausführungsformen der Offenbarung entfernt, wie in 2D dargestellt. Die Finnenseitenwandspacer 105 werden mithilfe eines dritten Ätzprozesses entfernt. Der dritte Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein.
  • In einigen Ausführungsformen ist der dritte Ätzprozess ein dritter Trockenätzprozess und er wird bei einem Druck in einem Bereich von ungefähr 3 mTorr bis ungefähr 50 mTorr betrieben. In einigen Ausführungsformen umfasst das in dem dritten Trockenätzprozess verwendete Gas Fluormethan (CH3F), Difluormethan (CH2F2), Methan (CH4), Argon (Ar), Bromwasserstoff (HBr), Stickstoff (N2), Helium (He), Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der dritte Trockenätzprozess bei einer Leistung in einem Bereich von ungefähr 50 W bis ungefähr 1000 W betrieben. In einigen Ausführungsformen wird der dritte Trockenätzprozess bei einer Temperatur in einem Bereich von ungefähr 20°C bis ungefähr 70°C betrieben.
  • Die Leistung der FinFET-Bauelementstruktur steht im Verhältnis zum Volumen einer epitaktischen Struktur (z. B. der in 2F dargestellten 210). Wenn die Finnenseitenwandspacer 105 auf der Isolationsstruktur verbleiben, ist das Volumen einer epitaktischen Struktur (wie z. B. der in 2F dargestellten 210) durch die Finnenseitenwandspacer 105 begrenzt. Um ein großes Volumen der epitaktischen Struktur zu erhalten, ist zu beachten, dass die gesamten Finnenseitenwandspacer 105 entfernt werden. Mit anderen Worten sind keine Finnenseitenwandspacer zu der Finnenstruktur 104 benachbart ausgebildet.
  • Nach dem dritten Trockenätzprozess wird ein Abschnitt der Finnenstruktur 104 gemäß einigen Ausführungsformen der Offenbarung entfernt, wie in 2E dargestellt. Danach wird ein Abschnitt der Isolationsstruktur 108 entfernt. Die Finnenstruktur 104 und die Isolationsstruktur 108 werden unabhängig voneinander mithilfe eines Ätzprozesses, wie z. B. eines Trockenätzprozesses oder eines Nassätzprozesses, entfernt.
  • Wie in 2E dargestellt, liegt eine obere Fläche der verbleibenden Firmenstruktur 104 niedriger als eine obere Fläche der Isolationsstruktur 108. Ein Graben 204 wird durch Aussparen eines Abschnitts der Finnenstruktur 104 und des Abschnitts der Isolationsstruktur 108 ausgebildet. Der Aussparungsprozess umfasst einen Trockenätzprozess, einen Nassätzprozess oder Kombinationen davon. Eine in dem Nassätzprozess verwendete Nassätzlösung umfasst Ammoniumhydroxid (H4OH), Kaliumhydroxid (KOH), Flusssäure (HF), Tetramethylammoniumhydroxid (TMAH), eine andere geeignete Lösung oder Kombinationen davon. Der Trockenätzprozess umfasst einen Biased-Plasma-Ätzprozess. In einigen Ausführungsformen umfasst das in dem Biased-Plasma-Ätzprozess verwendete Gas Tetrafluormethan (CF4), Stickstofftrifluorid (NF3), Schwefelhexafluorid (SF6) oder Helium (He).
  • Es ist zu beachten, dass die epitaktische Struktur (wie z. B. die in 2F dargestellte 210) in dem Graben 204 ausgebildet wird, und daher sollte die Größe des Grabens gut reguliert werden. Der Graben 204 weist eine untere Fläche und geneigte, mit der unteren Fläche verbundenen Seitenwände auf. Der Graben 204 weist eine Tiefe D1 und einen Winkel θ1 zwischen der unteren Fläche und der Seitenwand auf. In einigen Ausführungsformen liegt die Tiefe D1 in einem Bereich von ungefähr 0,1 nm bis ungefähr 50 nm. In einigen Ausführungsformen liegt der Winkel θ1 zwischen der unteren Fläche und der Seitenwand des Grabens 204 in einem Bereich von ungefähr 90 Grad bis ungefähr 175 Grad. Wenn der Winkel θ1 zu groß ist, verfügt die epitaktische Struktur (wie z. B. die in 2F dargestellte 210) über zu viel Raum zum Wachsen. Wenn der Winkel θ1 zu klein ist, ist das Volumen der epitaktischen Struktur (wie z. B. der in 2F dargestellten 210) durch einen kleinen Raum begrenzt, und die epitaktische Struktur wird kleiner. Die Bauelement-Ladungsträgerbeweglichkeit der epitaktischen Struktur wird durch das Volumen beeinflusst.
  • Nachdem der Abschnitt der Finnenstruktur 104 und der Abschnitt der Isolationsstruktur 108 entfernt wurden, wird eine epitaktische Struktur 210 auf der Finnenstruktur 104 gemäß einigen Ausführungsformen der Offenbarung ausgebildet, wie in 2F dargestellt.
  • Die epitaktische Struktur 210 umfasst eine epitaktische Source-/Drainstruktur. Wenn ein n-Kanal-FET-Bauelement (NFET-Bauelement) gewünscht wird, umfassen in einigen Ausführungsformen die epitaktischen Source-/Drainstrukturen ein epitaktisch aufgewachsenes Silizium (epi-Si). Wenn alternativ ein p-Kanal-FET-Bauelement (PFET) gewünscht wird, umfassen epitaktische Source-/Drainstrukturen ein epitaktisch aufgewachsenes Siliziumgermanium (SiGe). Die epitaktische Struktur 210 kann eine einzelne Schicht oder mehrere Schichten aufweisen.
  • Es ist zu beachten, dass die Grenzfläche zwischen der epitaktischen Struktur 210 und der Finnenstruktur 104 niedriger liegt als die obere Fläche der Isolationsstruktur 108. Die epitaktische Struktur 210 wird in dem Graben 204 ausgebildet und erstreckt sich durchgehend nach oben, um eine pentagonartige Form zu bilden.
  • 3 ist eine vergrößerte Darstellung eines Bereichs A von 2F gemäß einigen Ausführungsformen der Offenbarung. Wie in 3 dargestellt, weist die epitaktische Struktur 210 die pentagonartige Form auf. Die epitaktische Struktur 210 weist eine erste Fläche 210A, eine zweite Fläche 210B, eine dritte Fläche 210C, eine vierte Fläche 210D und eine fünfte Fläche 210E auf. Jede von der ersten Fläche 210A, einer zweiten Fläche 210B, einer dritten Fläche 210C, einer vierten Fläche 210D weist eine kristallographische(111)-Orientierung auf.
  • Ein erster Schnittpunkt P1 zwischen der ersten Fläche 210A und der zweiten Fläche 210B liegt höher als eine obere Fläche der Isolationsstruktur. Ein zweiter Schnittpunkt P2 zwischen der dritten Fläche 210C und der vierten Fläche 210D liegt höher als eine obere Fläche der Isolationsstruktur. Der erste Schnittpunkt P1 und der zweite Schnittpunkt P2 befinden sich im Wesentlichen auf gleicher Höhe. Der erste Schnittpunkt P1 erstreckt sich von der oberen Fläche der Isolationsstruktur 108 zu einer Höhe H2. In einigen Ausführungsformen liegt die Höhe H2 in einem Bereich von ungefähr 0,1 nm bis ungefähr 50 nm. Ein Winkel θ1 zwischen der fünften Fläche 210E und der ersten Fläche 210A liegt in einem Bereich von ungefähr 90 Grad bis ungefähr 175 Grad. Ein Winkel θ2 zwischen der ersten Fläche 210A und der zweiten Fläche 210B liegt in einem Bereich von 10 Grad bis ungefähr 175 Grad.
  • Wie in 3 dargestellt, weist die epitaktische Struktur 210 eine Höhe H3 und eine Breite W1 auf. In einigen Ausführungsformen liegt die Höhe H3 in einem Bereich von ungefähr 1 nm bis ungefähr 100 nm. Wenn die Höhe H3 zu groß ist, nimmt der elektrische Widerstand ab. Wenn die Höhe H3 zu klein ist, wird der elektrische Widerstand höher, um die Geschwindigkeit des Bauelements zu beeinflussen. In einigen Ausführungsformen liegt die Breite W1 in einem Bereich von ungefähr 1 nm bis ungefähr 100 nm. Wenn die Breite W1 zu groß ist, kann sich die epitaktische Struktur 210 mit einer benachbarten Struktur verbinden und Kurzschlusseffekte verursachen. Wenn die Breite W1 zu klein ist, wird ein Kontaktfenster zum Kontaktieren mit der epitaktischen Struktur 210 schmal, und daher kann die Schaltungswirkung defekt sein. Die Finnenstruktur 104 weist eine Breite W2 auf. In einigen Ausführungsformen ist die Breite W2 der Finnenstruktur 104 kleiner als die Breite W1 der epitaktischen Struktur 210.
  • Außerdem liegt ein Verhältnis (H3/W1) der Höhe H3 der epitaktischen Struktur 210 zu der Breite W1 der epitaktischen Struktur 210 in einem Bereich von ungefähr 1 bis ungefähr 100. Wenn das Verhältnis zu groß ist, ist die EPI-Höhe zu kurz, um den Widerstandswert zu beeinflussen. Wenn das Verhältnis zu klein ist, ist das EPI-Volumen kleiner, um die Verspannung des Bauelements zu reduzieren. Beides wirkt sich auf die Ladungsträgerbeweglichkeit des Bauelements aus.
  • Die epitaktische Struktur 210 umfasst ein Einzelelementhalbleitermaterial, wie z. B. Germanium (Ge) oder Silizium (Si), oder Verbindungshalbleitermaterialien, wie z. B. Galliumarsenid (GaAs), Aluminium-Gallium-Arsenid (AlGaAs), oder eine Halbleiterlegierung, wie z. B. Siliziumgermanium (SiGe), Galliumarsenidphosphid (GaAsP).
  • Die epitaktische Struktur 210 wird mithilfe eines EPI-Prozesses ausgebildet. Der EPI-Prozess kann einen selektiven epitaktischen Aufwachsprozess (selective epitaxial growth, SEG), einen chemischen Gasphasenabscheidungsprozess (CVD-Prozess) (z. B. eine Gasphasenepitaxie (VPE), eine chemische Niederdruck-Gasphasenabscheidung (LPCVD) oder/und eine Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie, andere geeignete EPI-Prozesse oder Kombinationen davon umfassen. Der Ausbildungsprozess der epitaktischen Struktur 210 kann gasförmige und/oder flüssige Vorstufen verwenden, die mit der Zusammensetzung der Finnenstruktur 104 darunter interagieren können.
  • Die epitaktische Struktur 210 kann während des EPI-Prozesses in-situ dotiert oder nicht dotiert werden. Zum Beispiel kann die epitaktisch aufgewachsene epitaktische SiGe-Struktur mit Bor dotiert werden; und die epitaktisch aufgewachsene epitaktische Si-Struktur kann mit Kohlenstoff dotiert werden, um eine epitaktische Si:C-Struktur auszubilden, mit Phosphor, um eine epitaktische Si:P-Struktur, auszubilden, oder mit sowohl Kohlenstoff als auch Phosphor, um eine epitaktische SiCP-Struktur auszubilden. Die Dotierung kann durch einen Ionenimplantationsprozess, einen Plasma-Immersions-Ionenimplantationsprozess (PIII), einen Gas- und/oder Feststoffquellendiffusionsprozess, einen anderen geeigneten Prozess oder Kombinationen davon erhalten werden. Die epitaktische Struktur 210 kann ferner einem Ausheilungsprozess, wie einem RTA-Prozess (rapid thermal annealing, schnelle thermische Ausheilung) unterzogen werden. Der Ausheilungsprozess wird zum Aktivieren der Dotierstoffe verwendet. Der Ausheilungsprozess umfasst einen RTA-Prozess und/oder einen Laserausheilungsprozess (Laser-Annealing-Prozess).
  • Wenn die epitaktische Struktur 210 nicht in-situ dotiert wird, wird ein zweiter Implantationsprozess (z. B. ein Übergangsimplantationsprozess) durchgeführt, um die epitaktische Struktur 210 zu dotieren.
  • Die Firmenstruktur 104 umfasst ein Kanalgebiet (nicht dargestellt), das durch die Gateelektrode 110 umgeben oder umschlossen ist. Die Gitterkonstanten der epitaktischen Struktur 210 sind von dem Substrat 102 insofern verschieden, als die Kanalgebiete gedehnt oder verspannt sind, um die Ladungsträgerbeweglichkeit der FinFET-Bauelementstruktur zu ermöglichen und die Leistung der FinFET-Bauelementstruktur zu verbessern.
  • Danach kann die FinFET-Bauelementstruktur weiter anderen Prozessen unterzogen werden, um andere Strukturen und Bauelemente auszubilden. In einigen Ausführungsformen umfasst eine Metallisierung vertikale Verbindungen, wie z. B. herkömmliche Durchkontaktierungen oder Kontakte, und horizontale Verbindungen, wie Metallleiterbahnen. Die verschiedenen Verbindungen können verschiedene leitfähige Materialien, einschließlich von Kupfer, Wolfram und/oder Siliziden, implementieren.
  • Die Leistung der FinFET-Bauelementstruktur steht im Verhältnis zum Volumen der epitaktischen Struktur 210. Wenn das Volumen der epitaktischen Struktur 210 zu klein ist, ist die Betriebsgeschwindigkeit der FinFET-Bauelementstruktur zu niedrig, um der Anforderung zu genügen.
  • Wenn die Finnenseitenwandspacer 105 auf der Isolationsstruktur 108 verbleiben, ist, wie vorstehend erwähnt, das Wachstumsvolumen der epitaktischen Struktur durch die Finnenseitenwandspacer 105 begrenzt. Um ein großes Volumen der epitaktischen Struktur zu erhalten, werden die Finnenseitenwandspacer 105 vollständig entfernt. Außerdem wird ein Abschnitt der Isolationsstruktur 108 entfernt, um die Breite des Grabens 204 zu vergrößern. Es ist zu beachten, dass der Graben 204 derart ausgestaltet ist, dass er eine Tiefe D1 und einen Winkel θ1 aufweist, und daher verfügt die epitaktische Struktur 210 über einen größeren Raum, um zu wachsen oder erweitert zu werden.
  • Es ist zu beachten, dass das Volumen und die Höhe H1 der epitaktischen Struktur 210 durch Einstellen der Tiefe D1 und des Winkels θ1 des Grabens 204 reguliert werden. Nachdem das Volumen und die Höhe H1 der epitaktischen Struktur 210 gut reguliert wurden, ist die Leistung der FinFET-Bauelementstruktur weiter verbessert. Insbesondere ist die Betriebsgeschwindigkeit der FinFET-Bauelementstruktur weiter erhöht. Außerdem kann der Widerstand der Gateelektrode 110 reduziert werden.
  • 4 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) 20 gemäß einigen Ausführungsformen der Offenbarung. 5A bis 5C sind Querschnittsdarstellungen, die entlang der Linie AA von 4 gezeichnet sind.
  • Mehrere Finnenstrukturen 104 werden auf dem Substrat 102 ausgebildet. Die Isolationsstruktur 108 wird auf dem Substrat 102 ausgebildet und die Finnenstrukturen 104 werden in die Isolationsstruktur 108 eingebettet.
  • Unter Bezugnahme auf 5A umfasst das Substrat 102 ein Kerngebiet 11 und ein I/O-Gebiet (I/O = in/out) 12. Mehrere erste Gatestapelstrukturen 502 werden in dem Kerngebiet 11 ausgebildet und mehrere zweite Gatestapelstrukturen 504 werden in dem I/O-Gebiet 12 ausgebildet. Es ist zu beachten, dass die Strukturdichte im Kerngebiet 11 von jener im I/O-Gebiet 12 verschieden ist. Insbesondere ist die Strukturdichte der ersten Gatestapelstrukturen 502 im Kerngebiet 11 größer als die Strukturdichte der zweiten Gatestapelstrukturen 504 im I/O-Gebiet 12.
  • Jede der ersten Gatestapelstrukturen 502 oder der zweiten Gatestapelstrukturen 504 weist eine Gatedielektrikumsschicht 109, die Gateelektrode 110, die erste Hartmaskenschicht 112 und die zweite Hartmaskenschicht 114 auf. Die Gateseitenwandspacer 115 werden auf gegenüberliegenden Seitenwänden der Gateelektrode 110 ausgebildet.
  • Nachdem die ersten Gatestapelstrukturen 502 und die zweiten Gatestapelstrukturen 504 ausgebildet wurden, wird ein Abscheidungsprozess 15 auf den ersten Gatestapelstrukturen 502 und den zweiten Gatestapelstrukturen 504 gemäß einigen Ausführungsformen der Offenbarung durchgeführt, wie in 5B dargestellt.
  • Der Abscheidungsprozess 15 umfasst ein Verwenden eines Abscheidungsgases. Daher wird eine Schicht 510 auf der oberen Fläche der ersten Gatestapelstrukturen 502 und der zweiten Gatestapelstrukturen 504 und auf der oberen Fläche der Firmenstruktur 104 ausgebildet. In einigen Ausführungsformen umfasst das Abscheidungsgas CxHy, wie z. B. Methangas (CH4) oder Azetylengas (C2H2). In einigen Ausführungsformen wird die Schicht 510 aus einem Copolymer gebildet.
  • „Loading-Effekt” bedeutet, dass die Abscheidungsrate zwischen den verschiedenen Strukturdichten nicht gleich ist. Insbesondere wird der Loading-Effekt durch den Unterschied der Strukturdichte zwischen dem Kerngebiet 11 und dem I/O-Gebiet 12 verursacht. Wie in 5B dargestellt, tritt der Loading-Effekt auf, und daher ist die Dicke der Schicht 510 im I/O-Gebiet 12 größer als jene im Kerngebiet 11.
  • Nachdem die Schicht 510 auf der Finnenstruktur 104 ausgebildet wurde, wird ein Abschnitt der Finnenstruktur 104 gemäß einigen Ausführungsformen der Offenbarung entfernt, wie in 5C dargestellt. Der obere Abschnitt der Finnenstruktur 104 wird mithilfe eines Ätzprozesses 17 ausgespart, um mehrere erste Gräben 204a und mehrere zweite Gräben 204b (wie z. B. den in 2E dargestellten Graben 204) auszubilden. Eine erste geätzte Finnenstruktur 104a wird zwischen zwei benachbarten ersten Gräben 204a ausgebildet. Eine zweite geätzte Firmenstruktur 104b wird zwischen zwei benachbarten Gräben 204b ausgebildet.
  • In einigen Ausführungsformen umfasst der Ätzprozess 17 ein Verwenden eines halogenhaltigen Gases, wie z. B. CF4, CH2F2, SF6, NF3, Cl2 oder Kombinationen davon.
  • Danach wird die epitaktische Struktur (nicht dargestellt, wie z. B. die in 2F dargestellte epitaktische Struktur 210) in den ersten Gräben 204a und den zweiten Gräben 204b ausgebildet. Die epitaktische Struktur wird in den ersten Gräben 204a und den zweiten Gräben 204b ausgebildet und erstreckt sich nach oben, um eine pentagonartige Form zu bilden. Eine Grenzfläche zwischen der epitaktischen Struktur und der Finnenstruktur 104 liegt niedriger als die obere Fläche der Isolationsstruktur 108.
  • 6A ist eine vergrößerte Darstellung eines Bereichs E von 5C gemäß einigen Ausführungsformen der Offenbarung.
  • Wie in 6A dargestellt, weist nach dem Ätzprozess 17 die Gateelektrode 110 eine erste Länge L1 auf, und ein schmalster Abschnitt der ersten geätzten Finnenstruktur 104a unter der Gateelektrode 110 weist eine zweite Länge L2 auf.
  • Ein erster Näherungsabstand d1 ist als der horizontale Abstand zwischen dem linken Rand 110a der Gateelektrode 110 und dem linken Rand 104ae der ersten geätzten Finnenstruktur 104a definiert. Alternativ kann der erste Näherungsabstand d1 ebenfalls als der Abstand zwischen dem Rand der Gateelektrode 110 und einem schmalsten Abschnitt der ersten geätzten Finnenstruktur 104a definiert sein. Mit anderen Worten ist der erste Näherungsabstand d1 durch einen Abstand zwischen dem Rand der Gateelektrode 110 und einem breitesten Abschnitt der ersten Gräben 204a definiert. Alternativ ist der erste Näherungsabstand d1 durch einen Abstand zwischen dem rechten Rand der Gateelektrode 110 und dem rechten Rand der ersten geätzten Firmenstruktur 104a definiert.
  • Außerdem ist ein erster Näherungsabstandswert ein Mittel (oder Mittelwert) der Differenz zwischen der ersten Länge L1 und der zweiten Länge L2, und die zweite Länge L2 minus die erste Länge L1 stellt die Differenz dar. Der erste Näherungsabstandswert wird mithilfe der folgenden Formel (I) berechnet. Der erste Näherungsabstandswert = (L2 – L1)/2 Formel (I).
  • Wie in 6A dargestellt, ist die zweite Länge L2 kürzer als die erste Länge L1 und daher ist der Näherungsabstandswert kleiner als null. In einigen Ausführungsformen liegt der Näherungsabstandswert im Kerngebiet 11 in einem Bereich von ungefähr 0 bis ungefähr –50 nm.
  • Außerdem weisen die ersten Gräben 204a eine gekrümmte Seitenwand in 6A auf. Die gekrümmte Seitenwand weist einen oberen Seitenwandabschnitt, der eine erste Neigung aufweist, und einen unteren Seitenwandabschnitt, der eine zweite Neigung aufweist, auf. Ein Winkel θ1 liegt zwischen der ersten Neigung und der zweiten Neigung. In einigen Ausführungsformen sind ein Punkt E1 und ein Punkt E2 Endpunkte der gekrümmten Seitenwand, und ein Punkt M1 liegt zwischen dem Punkt E1 und dem Punkt E2. Der Schnittpunkt M1 wird verwendet, um die erste Neigung und die zweite Neigung zu definieren. Die erste Neigung wird vom Punkt M1 zum Punkt E1 gebildet, und die zweite Neigung wird vom Punkt M1 zum Punkt E2 gebildet. In einigen Ausführungsformen liegt der Winkel θ1 in einem Bereich von ungefähr 90 Grad bis ungefähr 180 Grad.
  • 6B ist eine vergrößerte Darstellung eines Bereichs F von 5C gemäß einigen Ausführungsformen der Offenbarung. Ein schmalster Abschnitt der zweiten geätzten Firmenstruktur 104b unter der Gateelektrode 110 weist eine dritte Länge L3 auf.
  • Ein zweiter Näherungsabstand d2 ist durch einen Abstand zwischen dem linken Rand 110a der Gateelektrode 110 und dem linken Rand 104be der zweiten geätzten Finnenstruktur 104b definiert. Alternativ ist der zweite Näherungsabstand d2 durch einen Abstand zwischen dem Rand der Gateelektrode 110 und einem schmalsten Abschnitt der zweiten geätzten Finnenstruktur 104b definiert. Mit anderen Worten ist der zweite Näherungsabstand d2 durch einen Abstand zwischen dem Rand der Gateelektrode 110 und einem breitesten Abschnitt der zweiten Gräben 204b definiert.
  • Ein zweiter Näherungsabstandswert ist ein Mittel (oder Mittelwert) einer Differenz zwischen der ersten Länge L1 und der dritten Länge L3, und die dritte Länge L3 minus die erste Länge L1 stellt die Differenz dar. Der Näherungsabstandswert wird mithilfe der folgenden Formel (II) berechnet. Der zweite Näherungsabstandswert = (L3 – L1)/2 (Formel II).
  • Wie in 6B dargestellt, ist die dritte Länge L3 größer als die erste Länge L1, und daher ist der zweite Näherungsabstandswert größer als null. In einigen Ausführungsformen liegt der zweite Näherungsabstandswert im Kerngebiet 11 in einem Bereich von ungefähr 0 bis ungefähr –50 nm.
  • Außerdem weist der Graben 204b eine gekrümmte Seitenwand in 6B auf. Die gekrümmte Seitenwand weist einen oberen Seitenwandabschnitt, der eine erste Neigung aufweist, und einen unteren Seitenwandabschnitt, der eine zweite Neigung aufweist, auf. Ein Winkel θ2 liegt zwischen der ersten Neigung und der zweiten Neigung. In einigen Ausführungsformen sind ein Punkt E3 und ein Punkt E4 Endpunkte der gekrümmten Seitenwand, und ein Punkt M2 liegt zwischen dem Punkt E3 und dem Punkt E4. Der Schnittpunkt M2 wird verwendet, um die erste Neigung und die zweite Neigung zu definieren. Die erste Neigung wird vom Punkt M2 zum Punkt E3 gebildet, und die zweite Neigung wird vom Punkt M2 zum Punkt E4 gebildet. In einigen Ausführungsformen liegt der Winkel θ2 in einem Bereich von ungefähr 90 Grad bis ungefähr 180 Grad.
  • Es ist zu beachten, dass die Schicht 510 auf der Finnenstruktur 104 ausgebildet ist, und daher wird die Schicht 510 zuerst geätzt, wenn der Ätzprozess 17 durchgeführt wird. Außerdem ist die Schicht 510 dicker auf der Finnenstruktur 104 im I/O-Gebiet 12 als im Kerngebiet 11. Die Schicht 510 wird als eine Opferschicht verwendet, um zu verhindern, dass die Finnenstruktur 104 im I/O-Gebiet 12 überätzt wird. Der Betrag des Ätzens der Finnenstruktur 104 im Kerngebiet 11 ist größer als jener im I/O-Gebiet 12. Folglich ist der Näherungsabstandswert im Kerngebiet 11 kleiner als null, und der Näherungsabstandswert im I/O-Gebiet 12 ist größer als null. Wenn ein negativer Näherungsabstandswert im Kerngebiet 11 erzielt wird, ist der Gateleckstrom (Igi) der ersten Gatestapelstrukturen 502 verbessert. Wenn ein positiver Näherungsabstandswert im I/O-Gebiet 12 erzielt wird, ist die Durchbruchsspannung (Vbd) der zweiten Gatestapelstrukturen 504 verbessert.
  • In einigen Ausführungsformen wird während des Ätzprozesses 17 ein HVBP-Verfahren (High-Voltage Bias Pulsing) durchgeführt. 7 zeigt die Beziehung zwischen Zeit und Biasspannung des HVBP-Verfahrens (High-Voltage Bias Pulsing). Die hohe Biasspannung (oder der „An”-Zustand) und die Nullspannung (oder der „Aus”-Zustand) werden sequenziell an die Finnenstruktur 104 angelegt, während der Ätzprozess 17 durchgeführt wird. Ein Impuls P ist als ein Satz aus hoher Biasspannung und Nullspannung definiert.
  • In einigen Ausführungsformen liegt die Impulsfrequenz in einem Bereich von ungefähr 100 Hz bis ungefähr 900 Hz. Wenn die Impulsfrequenz zu hoch ist, wird die Ätzrate für den Ätzprozess eingebüßt. Wenn die Impulsfrequenz zu niedrig ist, kann der Loading-Effekt deutlich werden. In einigen Ausführungsformen liegt die Impulsspannung in einem Bereich von ungefähr 5 V bis ungefähr 1000 V. Wenn die Impulsspannung zu hoch ist, kann die Ätzrate zu schnell sein und daher kann die Selektivität eingebüßt werden. Wenn die Impulsspannung zu niedrig ist, ist die Ätzrate zu langsam, und daher verlängert sich die Ätzzeit.
  • Es ist zu beachten, dass einige Nebenprodukte (wie z. B. ein Copolymer) in dem unteren Abschnitt des Grabens 204a, 204b während des Ätzprozesses 17 gebildet werden können. Wenn sich die Biasspannung in dem „An”-Zustand befindet, sammelt sich das Nebenprodukt weiterhin an dem unteren Abschnitt der Gräben 204a, 204b. Daher wird der Ätzweg gesperrt und die Gleichförmigkeit des Grabens 204a, 204b ist mangelhaft. Um dieses Problem zu lösen, wird nach der hohen Biasspannung eine Null-Biasspannung (oder der „Aus”-Zustand) angelegt. Wenn sich die Biasspannung in dem „Aus”-Zustand befindet, besteht die Möglichkeit, das Nebenprodukt zu entfernen, so dass es sich nicht auf der Unterseite des Grabens ansammelt. Folglich wird die Gleichförmigkeit der Tiefe des ersten Grabens 204a im Kerngebiet 11 und der Gräben 204a mithilfe des HVBP-Verfahrens (High-Voltage Bias Pulsing) verbessert. Außerdem wird die Gleichförmigkeit der Tiefe des zweiten Grabens 204b im I/O-Gebiet 12 mithilfe des HVBP-Verfahrens (High-Voltage Bias Pulsing) verbessert.
  • In einigen Ausführungsformen stellt die Offenbarung ein Verfahren zum Ausbilden eines unterschiedlichen Näherungsabstandswertes im Kerngebiet 11 und im I/O-Gebiet 12 bereit. Ein Abscheidungsprozess wird vor dem Ätzprozess durchgeführt, und daher wird die Schicht 510 auf der Finnenstruktur 104 ausgebildet. Die Schicht 510 weist aufgrund des Loading-Effekts eine unterschiedliche Dicke im Kerngebiet 11 und im I/O-Gebiet 12 auf. In einigen Ausführungsformen wird durch Ausbilden einer dickeren Schicht 510 über der Finnenstruktur 104 im I/O-Gebiet 12 nicht zu viel von der Finnenstruktur 104 im I/O-Gebiet 12 entfernt. Daher wird ein positiver Näherungsabstandswert im I/O-Gebiet 12 erzielt und die Durchbruchsspannung (Vbd) der zweiten Gatestapelstrukturen 504 ist verbessert.
  • In einigen Ausführungsformen wird durch Ausbilden einer dünneren Schicht 510 über der Finnenstruktur 104 im Kerngebiet 11 mehr von der Finnenstruktur 104 im Kerngebiet 11 entfernt als im I/O-Gebiet 12. Daher wird ein negativer Näherungsabstandswert erzielt und der Gateleckstrom (Igi) der ersten Gatestapelstrukturen 502 ist verbessert.
  • Ausführungsformen zum Ausbilden einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) sind bereitgestellt. Die FinFET-Bauelementstruktur umfasst eine auf einem Substrat ausgebildete Isolationsstruktur und eine sich über dem Substrat erstreckende Finnenstruktur. Ein Graben wird durch Aussparen eines Abschnitts der Finnenstruktur und eines Abschnitts der Isolationsstruktur ausgebildet. Eine epitaktische Struktur wird auf der Finnenstruktur und in dem Graben ausgebildet. Die epitaktische Struktur ist zu der Gatestapelstruktur benachbart. Das Volumen und die Höhe der epitaktischen Struktur werden reguliert, indem eine Tiefe und ein Winkel eines Grabens eingestellt werden. Nachdem das Volumen der epitaktischen Struktur effizient reguliert wurde, ist die Leistung der FinFET-Bauelementstruktur weiter verbessert. Insbesondere ist die Betriebsgeschwindigkeit der FinFET-Bauelementstruktur weiter erhöht.
  • In einigen Ausführungsformen ist eine Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) bereitgestellt. Die FinFET-Struktur umfasst ein Substrat, und das Substrat umfasst ein Kerngebiet und ein I/O-Gebiet. Die FinFET-Struktur umfasst eine erste geätzte Firmenstruktur, die im Kerngebiet ausgebildet ist, und eine zweite geätzte Finnenstruktur, die in dem I/O-Gebiet ausgebildet ist. Die FinFET-Struktur umfasst ferner mehrere Gatestapelstrukturen, die über der ersten geätzten Finnenstruktur und der zweiten geätzten Finnenstruktur ausgebildet sind, und eine Breite der ersten geätzten Finnenstruktur ist kleiner als eine Breite der zweiten geätzten Finnenstruktur.
  • In einigen Ausführungsformen ist eine Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) bereitgestellt. Die FinFET-Struktur umfasst ein Substrat, und das Substrat umfasst ein Kerngebiet und ein I/O-Gebiet. Die FinFET-Struktur umfasst außerdem eine erste geätzte Firmenstruktur mit einer zweiten Länge L2, die im Kerngebiet des Substrats ausgebildet ist, und eine zweite geätzte Firmenstruktur mit einer dritten Länge L3, die im I/O-Gebiet des Substrats ausgebildet ist. Die FinFET-Struktur umfasst ferner mehrere Gatestapelstrukturen mit einer ersten Länge L1, die über der ersten geätzten Finnenstruktur und der zweiten geätzten Finnenstruktur ausgebildet sind. Ein erster Näherungsabstandswert ist durch die Formel (I) definiert, und der erste Näherungsabstandswert ist kleiner als null, und der erste Näherungsabstandswert = (L2 – L1)/2 Formel (I).
  • In einigen Ausführungsformen ist ein Verfahren zum Ausbilden einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) bereitgestellt. Das Verfahren umfasst ein Bereitstellen eines Substrats, und das Substrat umfasst ein Kerngebiet und ein I/O-Gebiet. Das Verfahren umfasst außerdem: Ausbilden einer Finnenstruktur über dem Substrat und Ausbilden mehrerer Gatestapelstrukturen über der Finnenstruktur. Das Verfahren umfasst außerdem: Durchführen eines Abscheidungsprozess, um eine Schicht auf der Finnenstruktur und den Gatestapelstrukturen auszubilden, und Ätzen der Schicht und eines Abschnitts der Finnenstruktur, um mehrere erste Gräben im Kerngebiet und mehrere zweite Gräben im I/O-Gebiet auszubilden.
  • Das Vorstehende skizziert Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage für Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann soll ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur), umfassend: ein Substrat, wobei das Substrat ein Kerngebiet und ein I/O-Gebiet umfasst, eine erste geätzte Finnenstruktur, die im Kerngebiet ausgebildet ist, und eine zweite geätzte Firmenstruktur, die im I/O-Gebiet ausgebildet ist, und mehrere Gatestapelstrukturen, die über der ersten geätzten Finnenstruktur und der zweiten geätzten Finnenstruktur ausgebildet sind, wobei eine Breite der ersten geätzten Finnenstruktur kleiner ist als eine Breite der zweiten geätzten Finnenstruktur.
  2. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach Anspruch 1, wobei die erste geätzte Firmenstruktur einen schmalsten Abschnitt aufweist, und ein horizontaler Abstand zwischen einem Rand der Gatestapelstrukturen und dem schmalsten Abschnitt der ersten geätzten Finnenstruktur in einem Bereich von ungefähr 0 bis 50 nm liegt.
  3. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach Anspruch 1 oder 2, wobei die zweite geätzte Finnenstruktur einen schmalsten Abschnitt aufweist, und ein horizontaler Abstand zwischen einem Rand der Gatestapelstrukturen und dem schmalsten Abschnitt der zweiten geätzten Finnenstruktur in einem Bereich von ungefähr 0 bis 50 nm liegt.
  4. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach einem der vorhergehenden Ansprüche, wobei eine Strukturdichte der Gatestapelstrukturen im Kerngebiet größer ist als eine Strukturdichte der Gatestapelstrukturen im I/O-Gebiet.
  5. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach einem der vorhergehenden Ansprüche, wobei die Gatestapelstrukturen eine erste Breite aufweisen, und die Breite der ersten geätzten Finnenstruktur kleiner ist als die erste Breite.
  6. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach einem der vorhergehenden Ansprüche, wobei die Gatestapelstrukturen eine erste Breite aufweisen, und die Breite der zweiten geätzten Finnenstruktur größer ist als die erste Breite.
  7. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach einem der vorhergehenden Ansprüche, ferner umfassend: eine Isolationsstruktur, die auf dem Substrat ausgebildet ist, wobei die erste geätzte Firmenstruktur und die zweiten geätzten Finnenstrukturen in die Isolationsstruktur eingebettet sind, und mehrere epitaktische Strukturen, die zu der ersten geätzten Firmenstruktur und der zweiten geätzten Finnenstruktur benachbart ausgebildet sind, wobei die epitaktischen Strukturen eine pentagonartige Form aufweisen, und wobei eine Grenzfläche zwischen der epitaktischen Struktur und der ersten geätzten Finnenstruktur niedriger liegt als die obere Fläche der Isolationsstruktur.
  8. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach Anspruch 7, wobei die epitaktischen Strukturen eine untere Fläche und eine an die untere Fläche angrenzende erste Fläche umfassen, und wobei ein Winkel zwischen der unteren Fläche und der ersten Fläche in einem Bereich von ungefähr 90 Grad bis ungefähr 175 Grad liegt.
  9. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur), umfassend: ein Substrat, wobei das Substrat ein Kerngebiet und ein I/O-Gebiet umfasst, eine erste geätzte Firmenstruktur mit einer zweiten Länge L2, die im Kerngebiet des Substrats ausgebildet ist, und eine zweite geätzte Finnenstruktur mit einer dritten Länge L3, die im I/O-Gebiet des Substrats ausgebildet ist, und mehrere Gatestapelstrukturen mit einer ersten Länge L1, die über der ersten geätzten Finnenstruktur und der zweiten geätzten Finnenstruktur ausgebildet sind, wobei ein erster Näherungsabstandswert durch die Formel (I) definiert ist, und der erste Näherungsabstandswert kleiner als null ist, und wobei der erste Näherungsabstandswert = (L2 – L1)/2 Formel (I).
  10. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach Anspruch 9, wobei ein zweiter Näherungsabstandswert durch die Formel (II) definiert ist, und der Näherungsabstandswert größer als null ist, und wobei der zweite Näherungsabstandswert = (L3 – L1)/2 Formel (II).
  11. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach Anspruch 9 oder 10, ferner umfassend: eine Isolationsstruktur, die auf dem Substrat ausgebildet ist, wobei die erste geätzte Finnenstruktur und die zweite geätzte Finnenstruktur in die Isolationsstruktur eingebettet sind, und mehrere epitaktische Strukturen, die zu der ersten geätzten Finnenstruktur und der zweiten geätzten Firmenstruktur benachbart ausgebildet sind, wobei die epitaktischen Strukturen eine pentagonartige Form aufweisen, und wobei eine Grenzfläche zwischen den epitaktischen Strukturen und der Finnenstruktur niedriger liegt als eine obere Fläche der Isolationsstruktur.
  12. Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur) nach einem der Ansprüche 9 bis 11, wobei ein erster Näherungsabstand ein horizontaler Abstand zwischen einem Rand der Gatestapelstrukturen und einem schmalsten Abschnitt der ersten geätzten Finnenstruktur ist, und der erste Näherungsabstand in einem Bereich von ungefähr 0 bis 50 nm liegt.
  13. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor-Bauelementstruktur (FinFET-Bauelementstruktur), umfassend: Bereitstellen eines Substrats, wobei das Substrat ein Kerngebiet und ein I/O-Gebiet umfasst, Ausbilden einer Finnenstruktur über dem Substrat, Ausbilden mehrerer Gatestapelstrukturen über der Finnenstruktur, Durchführen eines Abscheidungsprozesses, um eine Schicht auf der Firmenstruktur und den Gatestapelstrukturen auszubilden, und Ätzen der Schicht und eines Abschnitts der Firmenstruktur, um mehrere erste Gräben im Kerngebiet und mehrere zweite Gräben im I/O-Gebiet auszubilden.
  14. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach Anspruch 13, wobei eine erste geätzte Finnenstruktur zwischen zwei benachbarten ersten Gräben ausgebildet wird, und eine zweite geätzte Finnenstruktur zwischen zwei benachbarten zweiten Gräben ausgebildet wird, und eine Breite der ersten geätzten Finnenstruktur kleiner ist als eine Breite der zweiten geätzten Finnenstruktur.
  15. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach Anspruch 13 oder 14, ferner umfassend: epitaktisches Aufwachsen einer epitaktischen Struktur in den ersten Gräben und den zweiten Gräben, wobei eine Grenzfläche zwischen der epitaktischen Struktur und der Finnenstruktur niedriger liegt als die obere Fläche der Isolationsstruktur.
  16. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 13 bis 15, wobei das Durchführen des Abscheidungsprozesses, um die Schicht auf der Finnenstruktur und den Gatestapelstrukturen auszubilden, ein Verwenden eines Abscheidungsgases umfasst, und das Abscheidungsgas CxHy umfasst.
  17. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 13 bis 16, wobei nach dem Durchführen des Abscheidungsprozesses, um die Schicht auf der Finnenstruktur und den Gatestapelstrukturen auszubilden, eine Dicke der Schicht im Kerngebiet kleiner ist als eine Dicke der Schicht im I/O-Gebiet.
  18. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 13 bis 17, wobei das Ätzen der Schicht und des Abschnitts der Finnenstruktur ein Verwenden eines halogenhaltigen Gases umfasst.
  19. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach Anspruch 18, wobei das halogenhaltige Gas CF4, CH2F2, SF6, NF3, Cl2 oder Kombinationen davon umfasst.
  20. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 13 bis 19, wobei das Ätzen der Schicht und des Abschnitts der Finnenstruktur ferner ein Verwenden eines HVBP-Verfahrens (High-Voltage Bias Pulsing) umfasst.
DE102015104454.4A 2014-10-17 2015-03-25 Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements Pending DE102015104454A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/517,209 US10164108B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same
US14/517,209 2014-10-17
US201462075015P 2014-11-04 2014-11-04
US62/075,015 2014-11-04
US14/609,088 US10164109B2 (en) 2014-10-17 2015-01-29 Fin field effect transistor (FinFET) device and method for forming the same
US14/609,088 2015-01-29

Publications (1)

Publication Number Publication Date
DE102015104454A1 true DE102015104454A1 (de) 2016-04-21

Family

ID=55638043

Family Applications (2)

Application Number Title Priority Date Filing Date
DE102015104454.4A Pending DE102015104454A1 (de) 2014-10-17 2015-03-25 Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements
DE102015104490.0A Pending DE102015104490A1 (de) 2014-10-17 2015-03-25 Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE102015104490.0A Pending DE102015104490A1 (de) 2014-10-17 2015-03-25 Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements

Country Status (5)

Country Link
US (10) US9653605B2 (de)
KR (3) KR20160045526A (de)
CN (3) CN106206580A (de)
DE (2) DE102015104454A1 (de)
TW (3) TWI628793B (de)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015147866A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10164050B2 (en) 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9461043B1 (en) * 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) * 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9601492B1 (en) * 2015-11-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10163912B2 (en) * 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10510608B2 (en) 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI691076B (zh) * 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
US10468310B2 (en) 2016-10-26 2019-11-05 Globalfoundries Inc. Spacer integration scheme for FNET and PFET devices
US10347750B2 (en) * 2016-11-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102483546B1 (ko) 2016-11-28 2023-01-02 삼성전자주식회사 수직 채널을 가지는 반도체 소자
KR102587891B1 (ko) 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
EP3340308B1 (de) 2016-12-22 2022-09-07 IMEC vzw Verfahren zur herstellung von transistoren auf einem substrat
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10665719B2 (en) 2017-07-31 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with asymmetrical drain/source feature
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10490650B2 (en) 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
CN109872972A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11616144B2 (en) 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
JP7042726B2 (ja) * 2018-10-04 2022-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102524803B1 (ko) 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11527650B2 (en) 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11289602B2 (en) * 2020-01-03 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FeFET of 3D structure for capacitance matching
US11264502B2 (en) * 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11594117B2 (en) 2020-12-18 2023-02-28 Itron, Inc. Network edge detection and notification of gas pressure situation

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
JP2007149942A (ja) 2005-11-28 2007-06-14 Nec Electronics Corp 半導体装置およびその製造方法
US20070176253A1 (en) 2006-01-31 2007-08-02 Peng-Fei Wang Transistor, memory cell and method of manufacturing a transistor
JP4960007B2 (ja) 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
JP4267659B2 (ja) 2006-12-05 2009-05-27 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
TWI463655B (zh) 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
US7692254B2 (en) 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8890207B2 (en) 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
DE112011105751T5 (de) * 2011-10-18 2014-09-18 Intel Corporation Antifuse-Element unter Verwendung von nicht-planarer Topologie
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8574995B2 (en) 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US8748989B2 (en) 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
CN103426765B (zh) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US9910008B2 (en) 2012-07-06 2018-03-06 Robert Bosch Gmbh Methods for generating pH/ionic concentration gradient near electrode surfaces for modulating biomolecular interactions
US8673718B2 (en) 2012-07-09 2014-03-18 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140103451A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US9159831B2 (en) * 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8669607B1 (en) 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency
CN103811345B (zh) 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9142633B2 (en) * 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US9059022B2 (en) 2012-12-28 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR102066848B1 (ko) 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
EP3832710B1 (de) 2013-09-27 2024-01-10 INTEL Corporation Nichtplanare i/o- und logische halbleiterbauelemente mit unterschiedlicher arbeitsfunktion auf einem gemeinsamen substrat
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391200B2 (en) 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9190488B1 (en) 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US9653605B2 (en) * 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105826257B (zh) * 2015-01-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20180122908A1 (en) 2016-10-31 2018-05-03 International Business Machines Corporation Silicon germanium alloy fin with multiple threshold voltages

Also Published As

Publication number Publication date
US10964819B2 (en) 2021-03-30
KR101800977B1 (ko) 2017-11-23
US20200161474A1 (en) 2020-05-21
US20170250286A1 (en) 2017-08-31
US9653605B2 (en) 2017-05-16
KR20160045542A (ko) 2016-04-27
CN113299608A (zh) 2021-08-24
US10164108B2 (en) 2018-12-25
CN106206580A (zh) 2016-12-07
KR20160045526A (ko) 2016-04-27
US10686077B2 (en) 2020-06-16
US20160111540A1 (en) 2016-04-21
US20200220019A1 (en) 2020-07-09
US20210074859A1 (en) 2021-03-11
US20160111542A1 (en) 2016-04-21
TWI582989B (zh) 2017-05-11
US10840378B2 (en) 2020-11-17
TW201616653A (zh) 2016-05-01
US11721762B2 (en) 2023-08-08
US20190123205A1 (en) 2019-04-25
US11158744B2 (en) 2021-10-26
US20190115473A1 (en) 2019-04-18
DE102015104490A1 (de) 2016-04-21
US20230352592A1 (en) 2023-11-02
TWI602301B (zh) 2017-10-11
KR20160140562A (ko) 2016-12-07
CN106206727A (zh) 2016-12-07
TW201616652A (zh) 2016-05-01
US20160111420A1 (en) 2016-04-21
TW201626571A (zh) 2016-07-16
US10546956B2 (en) 2020-01-28
US10164109B2 (en) 2018-12-25
TWI628793B (zh) 2018-07-01
CN106206727B (zh) 2020-04-17
KR101718212B1 (ko) 2017-03-20

Similar Documents

Publication Publication Date Title
DE102015104454A1 (de) Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102015105996B4 (de) Verfahren zum ausbilden von einem fin-feldeffekttransistor-bauelement (finfet-bauelement) mit einer regulierten kritischen ende-zu-ende-abmessung
DE102013103470B4 (de) Verfahren für einen Feldeffekttransistor
DE112017002600B4 (de) Verfahren zum ausbilden von vertikalen fet-einheiten mit mehreren kanallängen
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102014119647A1 (de) Stuktur und Herstellungsverfahren für einen Fin-Feldeffekttransistor
DE102017117863A1 (de) Integrierte Schaltung mit einer Gatestruktur und Herstellungsverfahren
DE102018100114A1 (de) Halbleitervorrichtung mit einer/einem epitaktischen source/drain
DE102020114875A1 (de) Finfet-vorrichtung und verfahren
DE102015106597A1 (de) Struktur und Verfahren für integrierte Schaltung
DE102018100940B4 (de) Integrierte Schaltung mit Finne und Gatestruktur und Herstellungsverfahren
KR101844712B1 (ko) 핀 전계 효과 트랜지스터 (FinFET) 디바이스 및 이의 형성 방법
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication