TW201616652A - 鰭式場效電晶體裝置結構與其形成方法 - Google Patents

鰭式場效電晶體裝置結構與其形成方法 Download PDF

Info

Publication number
TW201616652A
TW201616652A TW104123375A TW104123375A TW201616652A TW 201616652 A TW201616652 A TW 201616652A TW 104123375 A TW104123375 A TW 104123375A TW 104123375 A TW104123375 A TW 104123375A TW 201616652 A TW201616652 A TW 201616652A
Authority
TW
Taiwan
Prior art keywords
fin
field effect
effect transistor
epitaxial
substrate
Prior art date
Application number
TW104123375A
Other languages
English (en)
Other versions
TWI628793B (zh
Inventor
張哲豪
程潼文
陳建穎
張哲誠
張永融
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201616652A publication Critical patent/TW201616652A/zh
Application granted granted Critical
Publication of TWI628793B publication Critical patent/TWI628793B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本揭露提供一種鰭式場效電晶體裝置結構與其形成方法。鰭式場效電晶體裝置結構包括一基板與一隔離結構形成於基板之上。該鰭式場效電晶體裝置結構包括一鰭式結構延伸高於該基板,且該鰭式結構埋設於該基板之中。該鰭式場效電晶體裝置結構包括一磊晶結構形成於該鰭式結構之上,其中該磊晶結構具有類五邊形形狀,且其中該磊晶結構與該鰭式結構之間的一介面低於該隔離結構之一上表面。

Description

鰭式場效電晶體裝置結構與其形成方法
本揭露係有關於一種半導體結構,且特別有關於一種鰭式場效電晶體裝置結構與其形成方法。
半導體裝置使用於各種電子應用中,舉例而言,諸如個人電腦、手機、數位相機以及其他電子設備。半導體裝置的製造通常是藉由在半導體基板上依序沉積絕緣層或介電層材料、導電層材料以及半導體層材料,接著使用微影製程圖案化所形成的各種材料層,藉以在此半導體基板之上形成電路零件及組件。通常在單一個半導體晶圓上製造許多積體電路,並且藉由沿著切割線在積體電路之間進行切割,以切割位在晶圓上的各個晶粒。舉例而言,接著將個別的晶粒分別封裝在多晶片模組中或其它類型的封裝結構中。
隨著半導體工業進展到奈米技術製程節點,以追求高裝置密度、高性能與低成本。因為製造與設計方面的問題所帶來的挑戰,因此三維設計開始發展,例如鰭式場效電晶體(FinFET)。鰭式場效電晶體(FinFET)具有從基板延伸出來的薄的垂直”鰭”。鰭式場效電晶體的通道形成於垂直鰭之中。閘極位於鰭之上。鰭式場效電晶體之優點可包括降低短通道效應與 高電流流通。
雖然現有的鰭式場效電晶體元件及其製造方法已普遍足以達成預期的目標,然而卻無法完全滿足所有需求。
本揭露提供一種鰭式場效電晶體裝置結構(FinFET device structure)包括:一基板與一隔離結構形成於基板之上。該鰭式場效電晶體裝置結構包括一鰭式結構延伸高於該基板,且該鰭式結構埋設於該基板之中。該鰭式場效電晶體裝置結構包括一磊晶結構形成於該鰭式結構之上,其中該磊晶結構具有類五邊形形狀,且其中該磊晶結構與該鰭式結構之間的一介面低於該隔離結構之一上表面。
本揭露亦提供一種鰭式場效電晶體裝置結構,包括:一基板與一隔離結構形成於該基板之上。該鰭式場效電晶體裝置結構包括一鰭式結構沿伸高於該基板,其中該鰭式結構突出該隔離結構。該鰭式場效電晶體裝置結構包括一磊晶結構形成於該鰭式結構之上,其中該磊晶結構包括一底表面與一第一表面相連於該底表面,且其中該底表面與該第一表面之間的一角度為約90度至約175度。
本揭露又提供一種鰭式場效電晶體裝置結構之形成方法,包括:提供一基板與形成一隔離結構於該基板之上。方法包括形成一鰭式結構於該基板之上,其中該鰭式結構埋設於該隔離結構之中。方法包括形成複數個鰭式間隙壁於該鰭式結構之一上表面與複數個側壁上;以及移除該些鰭式間隙壁,以暴露該鰭式結構。方法包括凹陷該鰭式結構之一部份與該隔 離結構之一部份,以形成一溝槽於該隔離結溝之中。方法包括從該溝槽中磊晶成長一磊晶結構,其中該磊晶結構形成於該鰭式結構之上,且其中該磊晶結構與該鰭式結構之一介面低於該隔離結構之一上表面。
10‧‧‧鰭式場效電晶體裝置結構
15‧‧‧n型鰭式場效電晶體裝置結構(NMOS)
25‧‧‧p型鰭式場效電晶體裝置結構(PMOS)
102‧‧‧基板
104‧‧‧鰭式結構
105‧‧‧鰭式間隙壁
108‧‧‧隔離結構
110‧‧‧閘極電極
112‧‧‧第一硬罩幕層
114‧‧‧第二硬罩幕層
115‧‧‧閘極間隙壁
202‧‧‧底部抗反射塗層(BARC)
204‧‧‧溝槽
210‧‧‧磊晶結構
210A‧‧‧第一表面
210B‧‧‧第二表面
210C‧‧‧第三表面
210D‧‧‧第四表面
210E‧‧‧第五表面
H1‧‧‧高度
H2‧‧‧高度
H3‧‧‧高度
W1‧‧‧寬度
W2‧‧‧寬度
D1‧‧‧深度
θ1‧‧‧角度
θ2‧‧‧角度
P1‧‧‧第一交叉點
P2‧‧‧第二交叉點
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖顯示依據本揭露之一些實施例之鰭式場效電晶體裝置結構之示意圖。
第2A-2F圖顯示依據本揭露之一些實施例之形成鰭式場效電晶體結構(FinFET device structure)之各個製程階段之剖面圖。
第3圖顯示依據本揭露之一些實施例之第2F圖之區域A之放大圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特 徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
下文描述實施例的各種變化。藉由各種視圖與所 繪示之實施例,類似的元件標號用於標示類似的元件。應可理解的是,額外的操作步驟可實施於所述方法之前、之間或之後,且在所述方法的其他實施例中,可以取代或省略部分的操作步驟。
本揭露提供形成鰭式場效電晶體(FinFET)裝置結 構之實施例。第1圖顯示依據本揭露之一些實施例之鰭式場效電晶體結構10之示意圖。鰭式場效電晶體結構10包括n型鰭式場效電晶體結構(NMOS)15與p型鰭式場效電晶體結構(PMOS)25。
鰭式場效電晶體結構10包括基板102。基板102可 以由矽或其他半導體材料所組成。另外且額外的,基板102可包括其他元素半導體,例如,鍺。在一些實施例中,基板102由化合物半導體所組成,例如,碳化矽(silicon carbide,SiC)、砷化鎵(gallium arsenic,GaAs)、砷化銦(indium arsenide,InAs)或磷化銦(indium phosphide,InP)。在一些實施例中,基板102由合金半導體所組成,例如矽鍺(Silicon germanium,SiGe)、矽碳化鍺(silicon germanium carbide,SiGeC)、砷磷化鎵(gallium arsenic phosphide,GaAsP)或磷化鎵銦(gallium indium phosphide,GaInP)。在一些實施例中,基板102包括磊晶層。舉 例而言,基板102是磊晶層位於塊狀半導體之上。
鰭式場效電晶體結構10尚包括一或多個鰭式結構 104(例如矽鰭)從基板102延伸。鰭式結構104可任意包括鍺(Ge)。鰭式結構104可藉由合適製程而形成,例如微影製程與蝕刻製程。在一些實施例中,使用乾式蝕刻或電漿製程從蝕刻基板102而得到鰭式結構104。
在一些實施例中,鰭式結構104由雙重圖案微影製 程(double-patterning lithography,DPL)而得。雙重圖案微影製程(DPL)是一種將圖案構成於基板之上的方法,其中藉由將圖案分成兩種交叉圖案。雙重圖案微影製程(DPL)能提高特徵(例如鰭)的密度。
形成隔離結構108,例如淺溝隔離結構,以環繞該 鰭式結構104。在一些實施例中,鰭式結構104之一底部份被隔離結構108所圍繞,以及鰭式結構104之一上部份突出於隔離結構108,如第1圖所示。另言之,鰭式結構104之一部份埋設於隔離結構108之中。隔離結構108用於避免電性干擾或串音(crosstalk)。
鰭式場效電晶體結構10尚包括一閘極堆疊結構, 閘極堆疊結構包括閘極電極110與閘極介電層(未顯示)。閘極堆疊結構形成於該鰭式結構104之中心部份之上。在一些其他實施例中,多個閘極堆疊結構形成於鰭式結構之上。
在一些實施例中,閘極堆疊結構是虛設閘極堆疊 且被金屬閘極所取代,在進行高熱預算製程(high thermal budget processes)之後。
閘極介電層(未顯示)可包括介電材料,例如氧化 矽、氮化矽、氮氧化矽、具有高介電係數(high-k)之介電材料,或上述之組合。高介電係數(high-k)之介電材料之例子包括氧化鉿(hafnium oxide)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、二氧化鉿-氧化鋁合金(hafnium dioxide-alumina alloy)、矽氧化鉿(hafnium silicon oxide)、氮矽氧化鉿(hafnium silicon oxynitride)、氧化鉭鉿(hafnium tantalum oxide)、氧化鈦鉿(hafnium titanium oxide)、氧化鋯鉿(hafnium zirconium oxide)、類似之材料或上述之組合。
閘極電極110可包括多晶矽或金屬。金屬包括氮化 鉭(tantalum nitride,TaN)、矽化鎳(nickel silicon,NiSi)、矽化鈷(cobalt silicon,CoSi)、鉬(molybdenum,Mo)、銅(copper,Cu)、鎢(tungsten,W)、鋁(aluminum,Al)、鈷(cobalt,Co)、鋯(zirconium,Zr)、鉑(platinum,Pt)或其他合適的材料。閘極電極110可由閘極後製程(或閘極取代製程)所形成。在一些實施例中,閘極堆疊結構包括其他層,例如介面層、蓋層、擴散/阻障層或其他合適的層。
閘極堆疊結構由沉積製程、微影製程與蝕刻製程 所形成。沉積製程包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積製程(ALD)、高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)、金屬有機物化學氣相沉積(metal organic CVD,MOCVD)、遠端電漿化學氣相沉積(remote plasma CVD,RPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、電鍍(plating)、其他合適的方法及/ 或上述之組合。微影製程包括光阻塗佈(photoresist coating)(例如旋轉塗佈)、軟烘烤(soft baking)、光罩對準(mask aligning)、曝光(exposure)、曝光後烘烤(post-exposure)、光阻顯影(developing photoresist)、潤洗(rising)、乾燥(例如硬烘烤(hard baking))。蝕刻製程包括乾式蝕刻製程、濕式蝕刻製程或上述之組合。另外的,微影製程可以被其他適合的方法執行或取代,例如無光罩微影(maskless photolithography)、電子束寫入(electron-beam writing)與離子束寫入(ion-beam writing)。
第2A-2F圖顯示依據本揭露之一些實施例之形成 鰭式場效電晶體結構(FinFET device structure)之各個製程階段之剖面圖。第2A-2F圖顯示沿著第1圖之箭頭1之側視圖,且箭頭1平行於X軸。
請參見第2A圖,第一硬罩幕層112形成於閘極電極110之上,且第二硬罩幕層114形成於第一硬罩幕層112之上。在一些實施例中,第一硬罩幕層112由氧化矽、氮化矽、氮氧化矽或其他合適的材料所組成。在一些實施例中,第二硬罩幕層114由氧化矽、氮化矽、氮氧化矽或其他合適的材料所組成。
閘極間隙壁115形成於閘極電極110之相對側壁上,鰭式間隙壁105形成於鰭式結構104之相對側壁上。閘極間隙壁115與鰭式間隙壁105各自包括介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽或上述之組合。
之後,底部抗反射塗層(bottom anti-reflective coating,BARC)202形成於閘極間隙壁115之上。底部抗反射塗層202位於光阻層之下,於圖案化製程期間,以幫助圖案化轉 移至硬罩幕層112、114。在一些實施例中,當佈植製程執行於N型場效電晶體(NMOS)裝置結構15時,底部抗反射塗層202與形成於底部抗反射塗層202之上的光阻(未顯示)形成於閘極電極110之上,以覆蓋P型場效電晶體(PMOS)裝置結構25中的閘極電極110。
依據本揭露之實施例,如第2B圖所示,之後,藉 由蝕刻製程移除光阻(未顯示)與底部抗反射塗層202。蝕刻製程可以是乾式蝕刻製程或濕式蝕刻製程。在一些實施例中,第一乾式蝕刻製程操作於壓力範圍為約3mtorr至約50mtorr。在一些實施例中,使用於第一乾式蝕刻製程之氣體包括甲烷(CH4)、氮氣(N2)、氦氣(He)、氧氣(O2)或上述之組合。在一些實施例中,第一乾式蝕刻製程操作於電源範圍為約50W至約1000W。在一些實施例中,第一乾式蝕刻製程操作於溫度範圍為約20度至約80度。
依據本揭露之實施例,如第2C圖所示,在移除底 部抗反射塗層202之後,移除一部份的閘極間隙壁115與一部份之鰭式間隙壁105。更特定而言,移除閘極間隙壁115之上部份,以暴露第二硬罩幕層114。移除鰭式間隙壁105之上部份,以暴露鰭式結構104。
在一些實施例中,當閘極間隙壁115與鰭式間隙壁105由氮化矽所組成時,執行第二蝕刻製程,以移除氮化矽。在一些實施例中,第二蝕刻製程是第二乾式蝕刻製程且操作於壓力範圍為約3mtorr至約50mtorr。在一些實施例中,使用於第二乾式蝕刻製程之氣體包括氟甲烷(CH3F)、二氟甲烷 (CH2F2)、甲烷(CH4)、氬氣(Ar)、溴化氫(HBr)、氮氣(N2)、氦氣(He)、氧氣(O2)或上述之組合。在一些實施例中,第二乾式蝕刻製程操作於電源範圍為約50W至約1000W。在一些實施例中,第二乾式蝕刻製程操作於溫度範圍為約20度至約70度。
在第二乾式蝕刻製程之後,各自的鰭式間隙壁105 具有第一高度H1。在一些實施例中,第一高度H1範圍為約0.1奈米至約50奈米。
依據本揭露之實施例,如第2D圖所示,在移除一 部份之閘極間隙壁115與一部份之鰭式間隙壁105之後,移除殘餘的鰭式間隙壁105。鰭式間隙壁105藉由第三蝕刻製程而移除。第三蝕刻製程可以是乾式蝕刻製程或濕式蝕刻製程。
在一些實施例中,第三蝕刻製程是第三乾式蝕刻 製程且操作於壓力範圍為約3mtorr至約50mtorr。在一些實施例中,使用於第三乾式蝕刻製程之氣體包括氟甲烷(CH3F)、二氟甲烷(CH2F2)、甲烷(CH4)、氬氣(Ar)、溴化氫(HBr)、氮氣(N2)、氦氣(He)、氧氣(O2)或上述之組合。在一些實施例中,第三乾式蝕刻製程操作於電源範圍為約50W至約1000W。在一些實施例中,第三乾式蝕刻製程操作於溫度範圍為約20度至約70度。
鰭式場效電晶體裝置結構之性能表現與磊晶結構 (例如第2F圖之標號210)之體積相關。如果鰭式間隙壁105殘留於隔離結構之上,磊晶結構(例如第2F圖之標號210)之體積會受限於鰭式間隙壁105。為了獲得較大的磊晶結構體積,需注意的是要移除全部的鰭式間隙壁105。另言之,無任何鰭式間隙壁形成相鄰於鰭式結構104。
依據本揭露之實施例,如第2E圖所示,在第三乾 式蝕刻製程之後,移除一部份之鰭式結構104。之後,移除一部份之隔離結構108。鰭式結構104與隔離結構108獨立地被蝕刻製程所移除,例如乾式蝕刻製程或濕式蝕刻製程。
如第2E圖所示,殘留的鰭式結構104之頂表面低於 隔離結構108之頂表面。藉由凹陷一部份的鰭式結構104與一部份之隔離結構108以形成溝槽204。凹陷製程可以是乾式蝕刻製程、濕式蝕刻製程或上述之組合。使用於濕式蝕刻製程之濕式蝕刻溶液包括氫氧化四甲基銨(tetraamethylammonium hydroxide,NH4OH)、氫氧化鉀(potassium hydroxide,KOH)、氟化氫(hydrofluoric acid,HF)、氫氧化四甲基銨(tetramethylammonium hydroxide,TMAH)、其他合適的溶液或上述之組合。乾式蝕刻製程包括偏壓電漿蝕刻製程。在一些實施例中,使用於偏壓電漿蝕刻製程中的氣體包括四氟甲烷(tetrafluoromethane,CF4)、三氟化氮(Nitrogen trifluoride,NF3)、六氟化硫(sulfur hexafluoride,SF6)或氦(helium,He)。
需注意的是,磊晶結構(顯示於第2F圖,例如標號 210)之後會形成於溝槽204中,因此,溝槽204之尺寸應該被適當地控制。溝槽204具有底表面與斜側壁相連於底表面。溝槽204具有深度D1與介於底表面與側壁之角度θ1。在一些實施例中,深度D1為約0.1奈米至約50奈米。在一些實施例中,介於溝槽204之底表面與側壁之間角度θ1為約90度至約175度。如果角度θ1太大,磊晶結構(顯示於第2F圖,例如標號210)可能有過大的成長空間。如果角度θ1太小,磊晶結構(顯示於第2F 圖,例如標號210)的體積將會被限制於小空間內,且磊晶結構將會更小。磊晶結構之裝置遷移率將會被體積所影響。
依據本揭露之實施例,如第2F圖所示,在移除一 部份之鰭式結構104與一部份之隔離結構108之後,形成磊晶結構210於鰭式結構104之上。
磊晶結構210包括源極/汲極結構。在一些實施例 中,當需要N型場效電晶體裝置時,源極/汲極磊晶結構包括磊晶成長的矽。另外地,當需要P型場效電晶體裝置時,源極/汲極磊晶結構包括磊晶成長的矽鍺(SiGe)。磊晶結構210可以是單一層或多層。
需注意的是介於磊晶結構210與鰭式結構104之間 的表面低於隔離結構108之上表面。磊晶結構210形成於溝槽204之中且連續向上延伸,以形成似五邊形形狀(pentagon-like shape)。
第3圖顯示依據本揭露之一些實施例之第2F圖之 區域A之放大圖。如第3圖所示,磊晶結構210具有似五邊形形狀(pentagon-like shape)。磊晶結構210具有第一表面210A、第二表面210B、第三表面210C、第四表面210D與第五表面210E。 每一個第一表面210A、第二表面210B、第三表面210C、第四表面210D具有(111)晶向方位(crystallographic orientation)。
介於第一表面210A與第二表面210B之間的第一交 叉點(intersection)P1高於隔離結構之上表面。介於第三表面210C與第四表面210D之間的第二交叉點(intersection)P2高於隔離結構之上表面。第一交叉點P1與第二交叉點P2大致上等 高。第一交叉點P1從隔離結構108之上表面延伸至高度H2。在一些實施例中,高度H2為約0.1奈米至約50奈米。介於第五表面210E與第一表面210A之間的角度θ1為約90度至約175度。介於第一表面210A與第二表面210B之間的角度θ2為約10度至約175度。
如第3圖所示,磊晶結構210具有高度H3與寬度 W1。在一些實施例中,高度H3為約1奈米至約100奈米。如果高度H3太大,電阻會變低。如果高度H3太小,電阻會變得太高而影響裝置速度。在一些實施例中,寬度W1為約1奈米至約100奈米。如果寬度W1太大,磊晶結構210可能會與相鄰的結構合併而造成短路效應。如果寬度W1太小,用於接觸磊晶結構210之接觸視窗(contact window)將會太窄,且因此破壞電路效應。 鰭式結構104具有寬度W2。在一些實施例中,鰭式結構104之寬度W2小於磊晶結構210之寬度W1
此外,磊晶結構210之高度H3比寬度W1之比率 (H3/W1)為約1至約100。如果比率太大,則磊晶高度將會太短而影響電阻值。如果比率太小,則磊晶體積將會太小而縮短裝置之張力(tension)。上述兩者將會影響裝置之遷移率。
磊晶結構210包括單一元素半導體材料,例如鍺或 矽;或化合物半導體材料,例如砷化鎵(gallium arsenide,GaAs)、砷化鋁鎵(aluminum gallium arsenide,AlGaAs);或半導體合金,例如矽鍺、磷砷化鎵(gallium arsenide phosphide,GaAsP)。
磊晶結構210由磊晶製程所形成。磊晶製程包括選 擇性磊晶成長製程(selective epitaxial growth,SEG)、化學氣相沉積製程(例如氣相磊晶(vapor-phase epitaxy,VPE)、低壓化學氣相沉積(low pressure chemical vapor deposition(LPCVD)及/或超高真空化學氣相沉積(ultra-high vacuum CVD(UHV-CVD))、分子束磊晶(molecular beam epitaxy)、其他合適的磊晶製程或上述之組合。磊晶結構210之形成方法可使用氣相及/或液相前驅物,其之後可與鰭式結構104之成份反應。
在磊晶製程期間,可以原處摻雜或未摻雜磊晶結 構210。舉例而言,可以摻雜硼至磊晶成長矽鍺磊晶結構;且可以摻雜碳至磊晶成長矽磊晶結構以形成矽:碳(Si:C)磊晶結構;摻雜磷以形成矽:磷(Si:P)磊晶結構或摻雜碳與磷以形成矽碳磷(SiCP)磊晶結構。可藉由離子佈植製程、電漿浸入離子佈植製程(plasma immersion ion implantation(PIII)process)、氣體及/或固體擴散源製程(gas and/or solid source diffusion process)、其他合適的製程或上述之組合以達成摻雜。磊晶結構210後續可暴露於退火製程,例如快速熱退火製程。退火製程可用於活化摻雜質。退火製程包括快速熱退火製程(RTA)及/或雷射退火製程。
如果快速熱退火製程並未原處進行摻雜,進行第 二摻雜製程(例如接面佈值製程(junction implant process))以摻雜磊晶結構210。
鰭式結構104包括通道區域(未顯示)被閘極電極 110包圍或圍繞。磊晶結構210之晶格常數不同於基板102之晶格常數,通道區域可以被伸張或是壓縮,使鰭式場效電晶體裝 置結構之載子遷移率之提升變成可行,且增強鰭式場效電晶體裝置結構之性能。
之後,鰭式場效電晶體裝置結構可繼續進行其他 製程,以形成其他結構或裝置。在一些實施例中,金屬化結構包括垂直內連線,例如導通孔或接觸插塞,與水平內連線,例如金屬線。各種內連線結構可使用各種導電材料,包括銅、鎢及/或矽化物。
鰭式場效電晶體結構之性能表現與磊晶結構210 之體積相關。如果磊晶結構210之體積太小,鰭式場效電晶體結構之操作速度會太低而不符合需求。
如上所述,如果鰭式間隙壁105殘留於隔離結構 108之上,磊晶結構之成長體積會受限於鰭式間隙壁105。為了獲得較大體積之磊晶結構,完全移除鰭式間隙壁105。此外,移除一部份之隔離結構108,以擴大溝槽204之寬度。需注意的是,溝槽204設計成具有深度D1與角度θ1,且因此磊晶結構210具有較多空間成長或沿伸。
需注意的是,藉由控制溝槽204之深度D1與角度θ 1,以控制磊晶結構210的體積與高度H1。只要能充分地控制磊晶結構210的體積與高度H1,鰭式場效電晶體結構之性能能更增進。更特定而言,鰭式場效電晶體結構之操作速度能更提升。此外,閘極電極110之電阻可被降低。
本揭露提供形成鰭式場效電晶體結構之實施例。 鰭式場效電晶體結構包括隔離結構形成於基板之上,鰭式結構延伸高於基板。藉由凹陷一部份之鰭式結構與一部份之隔離結 構,以形成溝槽。磊晶結構形成於鰭式結構之上與溝槽之中。 磊晶結構相鄰於閘極堆疊結構。藉由調整溝槽之深度與角度,以控制磊晶結構之體積與高度。只要能有效地控制磊晶結構的體積,鰭式場效電晶體結構之性能夠更增進。更特定而言,鰭式場效電晶體結構之操作速度能夠更加提升。
在一些實施例中,本揭露提供一種鰭式場效電晶 體裝置結構(FinFET device structure)包括:一基板與一隔離結構形成於基板之上。該鰭式場效電晶體裝置結構包括一鰭式結構延伸高於該基板,且該鰭式結構埋設於該基板之中。該鰭式場效電晶體裝置結構包括一磊晶結構形成於該鰭式結構之上,其中該磊晶結構具有類五邊形形狀,且其中該磊晶結構與該鰭式結構之間的一介面低於該隔離結構之一上表面。
在一些實施例中,本揭露提供一種鰭式場效電晶 體裝置結構,包括:一基板與一隔離結構形成於該基板之上。 該鰭式場效電晶體裝置結構包括一鰭式結構沿伸高於該基板,其中該鰭式結構突出該隔離結構。該鰭式場效電晶體裝置結構包括一磊晶結構形成於該鰭式結構之上,其中該磊晶結構包括一底表面與一第一表面相連於該底表面,且其中該底表面與該第一表面之間的一角度為約90度至約175度。
在另一些實施例中,本揭露有關於一種鰭式場效 電晶體裝置結構之形成方法,包括:提供一基板與形成一隔離結構於該基板之上。方法包括形成一鰭式結構於該基板之上,其中該鰭式結構埋設於該隔離結構之中。方法包括形成複數個鰭式間隙壁於該鰭式結構之一上表面與複數個側壁上;以及移 除該些鰭式間隙壁,以暴露該鰭式結構。方法包括凹陷該鰭式結構之一部份與該隔離結構之一部份,以形成一溝槽於該隔離結溝之中。方法包括從該溝槽中磊晶成長一磊晶結構,其中該磊晶結構形成於該鰭式結構之上,且其中該磊晶結構與該鰭式結構之一介面低於該隔離結構之一上表面。
前述內文概述了許多實施例的特徵,使本技術領 域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
102‧‧‧基板
104‧‧‧鰭式結構
108‧‧‧隔離結構
115‧‧‧閘極間隙壁
210‧‧‧磊晶結構

Claims (16)

  1. 一種鰭式場效電晶體裝置結構(FinFET device structure),包括:一基板;一隔離結構形成於基板之上;一鰭式結構延伸高於該基板,其中該鰭式結構埋設於該基板之中;以及一磊晶結構形成於該鰭式結構之上,其中該磊晶結構具有類五邊形形狀,且其中該磊晶結構與該鰭式結構之間的一介面低於該隔離結構之一上表面。
  2. 如申請專利範圍第1項所述之鰭式場效電晶體裝置結構,尚包括:一閘極堆疊結構形成於該鰭式結構之一中心部份;以及複數個閘極間隙壁相鄰於該閘極堆疊結構。
  3. 如申請專利範圍第1項所述之鰭式場效電晶體裝置結構,其中該磊晶結構形成於一溝槽中,且該溝槽在該隔離結構中具有一深度為約0.1奈米至約50奈米。
  4. 如申請專利範圍第1項所述之鰭式場效電晶體裝置結構,其中該磊晶結構包括一底表面與一第一表面相連於該底表面,且其中該底表面與該第一表面之間的一角度為約90度至約175度。
  5. 如申請專利範圍第4項所述之鰭式場效電晶體裝置結構,其中該磊晶結構尚包括一第二表面相連於該第一表面,且該第一表面與該第二表面之間的一交叉點高於該隔離結構 之一上表面。
  6. 如申請專利範圍第1項所述之鰭式場效電晶體裝置結構,其中該磊晶結構包括一第一寬度,該鰭式結構具有一第二寬度,且該第一寬度大於該第二寬度。
  7. 如申請專利範圍第6項所述之鰭式場效電晶體裝置結構,其中該磊晶結構包括一第一高度,該第一高度比該第一寬度之一比率為約1至100。
  8. 一種鰭式場效電晶體裝置結構,包括:一基板;一隔離結構形成於該基板之上;一鰭式結構沿伸高於該基板,其中該鰭式結構突出該隔離結構;以及一磊晶結構形成於該鰭式結構之上,其中該磊晶結構包括一底表面與一第一表面相連於該底表面,且其中該底表面與該第一表面之間的一角度為約90度至約175度。
  9. 如申請專利範圍第8項所述之鰭式場效電晶體裝置結構,其中該該磊晶結構尚包括一第二表面相連於該第一表面,且該第一表面與該第二表面之間的一交叉點高於該隔離結構之一上表面。
  10. 如申請專利範圍第8項所述之鰭式場效電晶體裝置結構,其中該磊晶結構具有一第一寬度與一第一高度,且該高度比該第一寬度之比率為約1至約100。
  11. 如申請專利範圍第10項所述之鰭式場效電晶體裝置結構,其中該鰭式結構具有一第二寬度,且該第一寬度大於該第 二寬度。
  12. 如申請專利範圍第8項所述之鰭式場效電晶體裝置結構,尚包括:一閘極堆疊結構形成於該鰭式結構之一中心部份;以及該磊晶結構相鄰於該閘極堆疊結構。
  13. 如申請專利範圍第11項所述之鰭式場效電晶體裝置結構,其中該磊晶結構形成於一溝槽中,且該溝槽在該隔離結構中具有一深度為約0.1奈米至約50奈米。
  14. 一種鰭式場效電晶體裝置結構之形成方法,包括:提供一基板;形成一隔離結構於該基板之上;形成一鰭式結構於該基板之上,其中該鰭式結構埋設於該隔離結構之中;形成複數個鰭式間隙壁於該鰭式結構之一上表面與複數個側壁上;移除該些鰭式間隙壁,以暴露該鰭式結構;凹陷該鰭式結構之一部份與該隔離結構之一部份,以形成一溝槽於該隔離結溝之中;以及從該溝槽中磊晶成長一磊晶結構,其中該磊晶結構形成於該鰭式結構之上,且其中該磊晶結構與該鰭式結構之一介面低於該隔離結構之一上表面。
  15. 如申請專利範圍第14項所述之鰭式場效電晶體裝置結構之形成方法,尚包括:形成一閘極堆疊結構於該鰭式結構之一中心部份;以及 形成複數個閘極間隙壁於該鰭式結構之上。
  16. 如申請專利範圍第14項所述之鰭式場效電晶體裝置結構之形成方法,其中凹陷該鰭式結構之一部份以形成該溝槽包括:對該鰭式結構進行一乾式蝕刻或一濕式蝕刻。
TW104123375A 2014-10-17 2015-07-20 鰭式場效電晶體裝置結構與其形成方法 TWI628793B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,209 US10164108B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same
US14/517,209 2014-10-17

Publications (2)

Publication Number Publication Date
TW201616652A true TW201616652A (zh) 2016-05-01
TWI628793B TWI628793B (zh) 2018-07-01

Family

ID=55638043

Family Applications (3)

Application Number Title Priority Date Filing Date
TW104123375A TWI628793B (zh) 2014-10-17 2015-07-20 鰭式場效電晶體裝置結構與其形成方法
TW104123514A TWI582989B (zh) 2014-10-17 2015-07-21 鰭式場效電晶體裝置結構與其形成方法
TW104134169A TWI602301B (zh) 2014-10-17 2015-10-19 鰭式場效電晶體裝置結構及其形成方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW104123514A TWI582989B (zh) 2014-10-17 2015-07-21 鰭式場效電晶體裝置結構與其形成方法
TW104134169A TWI602301B (zh) 2014-10-17 2015-10-19 鰭式場效電晶體裝置結構及其形成方法

Country Status (5)

Country Link
US (10) US9653605B2 (zh)
KR (3) KR20160045526A (zh)
CN (3) CN106206580A (zh)
DE (2) DE102015104490A1 (zh)
TW (3) TWI628793B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3123520A4 (en) * 2014-03-28 2017-11-22 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10164050B2 (en) * 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9553172B2 (en) * 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9461043B1 (en) 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9601492B1 (en) * 2015-11-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10163912B2 (en) * 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10510608B2 (en) * 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI691076B (zh) 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
US10468310B2 (en) * 2016-10-26 2019-11-05 Globalfoundries Inc. Spacer integration scheme for FNET and PFET devices
KR102483546B1 (ko) 2016-11-28 2023-01-02 삼성전자주식회사 수직 채널을 가지는 반도체 소자
US10347750B2 (en) 2016-11-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3340308B1 (en) 2016-12-22 2022-09-07 IMEC vzw Method for forming transistors on a substrate
KR102587891B1 (ko) 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10665719B2 (en) 2017-07-31 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with asymmetrical drain/source feature
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
US10504797B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10490650B2 (en) 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
CN109872972A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11616144B2 (en) 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
JP7042726B2 (ja) * 2018-10-04 2022-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102524803B1 (ko) 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
DE102019111297B4 (de) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11527650B2 (en) 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11289602B2 (en) * 2020-01-03 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FeFET of 3D structure for capacitance matching
US11264502B2 (en) * 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11594117B2 (en) 2020-12-18 2023-02-28 Itron, Inc. Network edge detection and notification of gas pressure situation

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
JP2007149942A (ja) 2005-11-28 2007-06-14 Nec Electronics Corp 半導体装置およびその製造方法
US20070176253A1 (en) 2006-01-31 2007-08-02 Peng-Fei Wang Transistor, memory cell and method of manufacturing a transistor
JP4960007B2 (ja) 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7410854B2 (en) * 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
JP4267659B2 (ja) 2006-12-05 2009-05-27 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
TWI463655B (zh) 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
US7692254B2 (en) 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8890207B2 (en) 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
DE112011105751B4 (de) * 2011-10-18 2024-05-08 Intel Corporation Antifuse-Element unter Verwendung von nicht-planarer Topologie
US8574995B2 (en) 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US8748989B2 (en) 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8865560B2 (en) * 2012-03-02 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with LDD extensions
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
CN103426765B (zh) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US9910008B2 (en) 2012-07-06 2018-03-06 Robert Bosch Gmbh Methods for generating pH/ionic concentration gradient near electrode surfaces for modulating biomolecular interactions
US8673718B2 (en) 2012-07-09 2014-03-18 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140103451A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US9159831B2 (en) * 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8669607B1 (en) 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency
CN103811345B (zh) 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9142633B2 (en) * 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US9059022B2 (en) 2012-12-28 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8796093B1 (en) 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR102066848B1 (ko) 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
WO2015047313A1 (en) 2013-09-27 2015-04-02 Intel Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9190488B1 (en) 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US9653605B2 (en) * 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105826257B (zh) * 2015-01-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20180122908A1 (en) 2016-10-31 2018-05-03 International Business Machines Corporation Silicon germanium alloy fin with multiple threshold voltages

Also Published As

Publication number Publication date
US20190123205A1 (en) 2019-04-25
TWI602301B (zh) 2017-10-11
US9653605B2 (en) 2017-05-16
US20160111420A1 (en) 2016-04-21
US20160111542A1 (en) 2016-04-21
KR101800977B1 (ko) 2017-11-23
US10546956B2 (en) 2020-01-28
US10164109B2 (en) 2018-12-25
US11158744B2 (en) 2021-10-26
US20190115473A1 (en) 2019-04-18
US10164108B2 (en) 2018-12-25
US10686077B2 (en) 2020-06-16
US10964819B2 (en) 2021-03-30
TWI628793B (zh) 2018-07-01
US20160111540A1 (en) 2016-04-21
KR20160045526A (ko) 2016-04-27
DE102015104454A1 (de) 2016-04-21
CN106206580A (zh) 2016-12-07
CN106206727B (zh) 2020-04-17
TWI582989B (zh) 2017-05-11
CN106206727A (zh) 2016-12-07
US20170250286A1 (en) 2017-08-31
US20200161474A1 (en) 2020-05-21
US11721762B2 (en) 2023-08-08
KR101718212B1 (ko) 2017-03-20
US20200220019A1 (en) 2020-07-09
KR20160140562A (ko) 2016-12-07
US20210074859A1 (en) 2021-03-11
TW201616653A (zh) 2016-05-01
US20230352592A1 (en) 2023-11-02
KR20160045542A (ko) 2016-04-27
US10840378B2 (en) 2020-11-17
CN113299608A (zh) 2021-08-24
TW201626571A (zh) 2016-07-16
DE102015104490A1 (de) 2016-04-21

Similar Documents

Publication Publication Date Title
US11721762B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
TWI585900B (zh) 鰭式場效電晶體裝置結構與其形成方法
KR101670558B1 (ko) 변형 생성 채널 유전체를 포함하는 비평면 디바이스 및 그 형성방법
CN107230674B (zh) 半导体结构和半导体器件的制造方法
KR101656952B1 (ko) Fin 피처의 구조물 및 그 제조 방법
KR101844712B1 (ko) 핀 전계 효과 트랜지스터 (FinFET) 디바이스 및 이의 형성 방법