KR20160140562A - 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법 - Google Patents

핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법 Download PDF

Info

Publication number
KR20160140562A
KR20160140562A KR1020160160552A KR20160160552A KR20160140562A KR 20160140562 A KR20160140562 A KR 20160140562A KR 1020160160552 A KR1020160160552 A KR 1020160160552A KR 20160160552 A KR20160160552 A KR 20160160552A KR 20160140562 A KR20160140562 A KR 20160140562A
Authority
KR
South Korea
Prior art keywords
fin
epitaxial
finfet
pin
substrate
Prior art date
Application number
KR1020160160552A
Other languages
English (en)
Other versions
KR101800977B1 (ko
Inventor
제하오 장
퉁웬 쳉
창인 첸
치쳉 창
융 중 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160140562A publication Critical patent/KR20160140562A/ko
Application granted granted Critical
Publication of KR101800977B1 publication Critical patent/KR101800977B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물 및 FinFET 디바이스 구조물을 형성하는 방법이 제공된다. FinFET 디바이스 구조물은 기판 및 기판 상에 형성된 분리 구조물을 포함한다. FinFET 디바이스 구조물은 기판 위로 연장되는 핀 구조물을 또한 포함하고, 핀 구조물은 분리 구조물에 내장된다. FinFET 디바이스 구조물은 핀 구조물 상에 형성된 에피택셜 구조물을 더 포함하고, 에피택셜 구조물은 오각형 유사 형상을 갖고, 에피텍셜 구조물과 핀 구조물 사이의 계면은 분리 구조물의 상부 표면보다 낮다.

Description

핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법{FIN FIELD EFFECT TRANSISTOR (FINFET) DEVICE AND METHOD FOR FORMING THE SAME}
관련 출원에 대한 상호 참조
본 출원은 다음의 동시 계류 중인 공통으로 양도된 특허 출원에 관한 것으로, 발명의 명칭이 "Fin field effect transistor (FinFET) device and method for forming the same"(출원인 관리 번호 제TSMC 2014-0686호)이며 미국 특허 일련 번호 제14/517,209호에 관한 것이다.
반도체 디바이스는 퍼스널 컴퓨터, 셀룰러 폰, 디지털 카메라, 및 다른 전자 장비와 같은 다양한 전자 애플리케이션들에 이용된다. 반도체 디바이스는 통상적으로 반도체 기판 위에 절연층 또는 유전체층, 전도성층, 및 반도체 물질층을 순차적으로 퇴적하고, 그 위에 회로 컴포넌트 및 요소들을 형성하기 위해 리소그래피를 이용하여 다양한 물질층들을 패턴화함으로써 제조된다. 많은 집적 회로들은 통상적으로 단일 반도체 웨이퍼 상에 제조되고, 웨이퍼 상의 개별 다이들은 스크라이브 라인을 따라 집적 회로 사이를 절단함으로써 개별화된다. 개별 다이들은 통상적으로, 예를 들어, 멀티 칩 모듈에, 또는 다른 유형의 패키지에 별도로 패키징된다.
반도체 산업이 더욱 높은 디바이스 밀도, 높은 성능, 및 비용 절감을 추구하여 나노미터 기술 공정 노드로 진행함에 따라, 제조 및 설계 문제 모두로부터의 과제는 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET)와 같은 입체적인 설계의 개발을 야기하였다. FinFET는 기판으로부터 연장된 얇은 수직 "핀" (또는 핀 구조물)으로 제조된다. FinFET의 채널은 이 수직 핀에 형성된다. 게이트가 핀 위에 제공된다. FinFET의 장점은 쇼트 채널 효과 및 높은 전류 흐름을 줄이는 것을 포함할 수 있다.
기존의 FinFET 디바이스 및 FinFET 디바이스를 제조하는 방법은 일반적으로 자신의 의도된 목적에는 적절하지만, 모든 면에서 완전히 만족스러운 것은 아니다.
본 발명의 목적은 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법을 제공하는 것이다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물이 제공된다. FinFET 디바이스 구조물은 기판 및 기판 상에 형성된 분리 구조물을 포함한다. FinFET 디바이스 구조물은 기판 위로 연장되는 핀 구조물을 또한 포함하고, 핀 구조물은 분리 구조물에 내장된다. FinFET 디바이스 구조물은 핀 구조물 상에 형성된 에피택셜 구조물을 더 포함하고, 에피택셜 구조물은 오각형 유사 형상(pentagon-like shape)을 갖고, 에피텍셜 구조물과 핀 구조물 사이의 계면은 분리 구조물의 상부 표면보다 낮다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물이 제공된다. FinFET 디바이스 구조물은 기판 및 기판 상에 형성된 분리 구조물을 포함한다. FinFET 디바이스 구조물은 기판 위로 연장되는 핀 구조물을 또한 포함하고, 핀 구조물은 분리 구조물로부터 돌출된다. FinFET 디바이스 구조물은 핀 구조물 상에 형성된 에피택셜 구조물을 더 포함하고, 에피택셜 구조물은 하부 표면 및 하부 표면에 인접한 제 1 표면을 포함하고, 하부 표면과 제 1 표면 사이의 각은 대략 90 도 내지 대략 175 도의 범위에 있다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 방법이 제공된다. 방법은 기판을 제공하는 단계, 및 기판 상에 분리 구조물을 형성하는 단계를 포함한다. 방법은 또한 기판 위에 핀 구조물을 형성하는 단계를 포함하고, 핀 구조물은 분리 구조물에 내장된다. 방법은 핀 구조물의 상부 표면 및 측벽들 상에 핀 측벽 스페이서들을 형성하는 단계, 및 핀 구조물을 노출시키기 위해 핀 측벽 스페이서들을 제거하는 단계를 더 포함한다. 방법은 분리 구조물에 트렌치를 형성하기 위해 핀 구조물의 일부분 및 분리 구조물의 일부분을 리세스하는 단계를 포함한다. 방법은 트렌치로부터 에피택셜 구조물을 에피택셜 성장시키는 단계를 더 포함하고, 에피택셜 구조물은 핀 구조물 위에 형성되며, 에피텍셜 구조물과 핀 구조물 사이의 계면은 분리 구조물의 상부 표면보다 낮다.
본 발명에 따르면, 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법을 제공하는 것이 가능하다.
본 발명개시의 양태는 첨부 도면들과 함께 아래의 상세한 설명을 읽음으로써 가장 잘 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 도시되지 않았음을 유념한다. 사실, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 발명개시의 일부 실시예들에 따라, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물의 배경도를 도시한다.
도 2a 내지 도 2f는 본 발명개시의 일부 실시예들에 따라, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 다양한 단계들의 단면도를 도시한다.
도 3은 본 발명개시의 일부 실시예들에 따라, 도 2f의 영역 A의 확대도를 도시한다.
다음의 발명개시는 제공된 주제의 상이한 피처들을 구현하는 다수의 상이한 실시예들, 또는 예들을 제공한다. 컴포넌트 및 장치의 특정한 예들은 본 발명개시를 단순화하기 위해 이하에 설명된다. 물론, 이러한 설명은 단지 예일 뿐 제한하기 위한 것이 아니다. 예를 들어, 이어지는 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처의 형성은, 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제 1 피처 및 제 2 피처가 직접 접촉하지 않도록 제 1 피처와 제 2 피처 사이에 부가적인 피처들이 형성되는 실시예들을 또한 포함할 수 있다. 게다가, 본 발명개시는 다양한 예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순함과 명료함을 위한 것으로, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 지시하지 않는다.
실시예들의 일부 변형이 기술된다. 다양한 도면들 및 예시적인 실시예들에 걸쳐서, 같은 참조 번호는 같은 요소를 나타내는데 이용된다. 추가적인 동작들이 상기 방법 이전에, 방법 동안에, 그리고 방법 이후에 제공될 수 있고, 기술된 동작들의 일부는 상기 방법의 다른 실시예들을 위해 교체되거나 제거될 수 있다는 것이 이해된다.
핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하기 위한 실시예들이 제공된다. 도 1은 본 발명개시의 일부 실시예들에 따라, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물(10)의 배경도를 도시한다. FinFET 디바이스 구조물(10)은 N형 FinFET 디바이스 구조물(NMOS)(15) 및 P형 FinFET 디바이스 구조물(PMOS)(25)을 포함한다.
FinFET 디바이스 구조물(10)은 기판(102)를 포함한다. 기판(102)은 실리콘 또는 다른 반도체 물질들로 구성될 수 있다. 대안적으로 또는 부가적으로, 기판(102)은 게르마늄과 같은 다른 원소 반도체 물질을 포함할 수 있다. 일부 실시예들에서, 기판(102)은 실리콘 탄화물(SiC), 갈륨 비화물(GaAs), 인듐 비화물(InAs), 또는 인듐 인화물(InP)과 같은 화합물 반도체로 구성된다. 일부 실시예들에서, 기판(102)은 실리콘 게르마늄(SiGe), 실리콘 게르마늄 탄화물(SiGeC), 갈륨 비소 인화물(GaAsP), 또는 갈륨 인듐 인화물(GaInP)과 같은 혼정 반도체로 구성된다. 일부 실시예들에서, 기판(102)은 에피택셜층을 포함한다. 예를 들어, 기판(102)은 벌크 반도체 위에 놓인 에피택셜 층을 갖는다.
FinFET 디바이스 구조물(10)은 또한 기판(102)으로부터 연장되는 하나 이상의 핀 구조물(104)(예컨대, Si 핀)을 포함한다. 핀 구조물(104)은 선택적으로 게르마늄(Ge)을 포함할 수 있다. 핀 구조물(104)은 포토리소그래피 공정 및 에칭 공정과 같은 적합한 공정을 이용하여 형성될 수 있다. 일부 실시예들에서, 핀 구조물(104)은 건식 에칭 또는 플라즈마 공정을 이용하여 기판(102)으로부터 에칭된다.
일부 다른 실시예들에서, 핀 구조물(104)은 더블 패턴화 리소그래피(double-patterning lithography; DPL) 공정으로 형성될 수 있다. DPL 공정은 패턴을 두 개의 인터리브 패턴들로 분할함으로써 기판 상에 패턴을 구성하는 방법이다. DPL 공정은 향상된 피처 (예컨대, 핀) 밀도를 허용한다.
쉘로우 트렌치 분리(shallow trench isolation; STI) 구조물와 같은 분리 구조물(108)이 핀 구조물(104)을 둘러싸도록 형성된다. 일부 실시예들에서, 도 1에 도시된 바와 같이, 핀 구조물(104)의 하위 부분은 분리 구조물(108)에 의해 둘러싸이고, 핀 구조물(104)의 상위 부분은 분리 구조물(108)로부터 돌출된다. 다시 말해서, 핀 구조물(104)의 일부분이 분리 구조물(108)에 내장된다. 분리 구조물(108)은 전기 방해 또는 크로스토크를 방지한다.
FinFET 디바이스 구조물(10)은 게이트 전극(110) 및 게이트 유전체층(도시되지 않음)을 포함하는 게이트 스택 구조물을 더 포함한다. 게이트 스택 구조물은 핀 구조물(104)의 중앙 부분 위에 형성된다. 일부 다른 실시예들에서, 다수의 게이트 스택 구조물들이 핀 구조물(104) 위에 형성된다.
일부 다른 실시예들에서, 게이트 스택 구조물은 더미 게이트 스택이고, 높은 열 예산 공정이 수행된 이후에 금속 게이트(metal gate; MG)로 나중에 교체된다.
게이트 유전체층(도시되지 않음)은 실리콘 질화물, 실리콘 산화물, 실리콘 산화질화물, 고유전율(하이-k)(high-k)를 갖는 유전체 물질(들), 또는 이들의 조합과 같은 유전체 물질들을 포함할 수 있다. 하이-k 유전체 물질의 예에는, 하프늄 산화물, 지르코늄 산화물, 알류미늄 산화물, 하프늄 이산화물-알루미나 합금, 하프늄 실리콘 산화물, 하프늄 실리콘 이산화물, 하프늄 탄탈룸 산화물, 하프늄 티타늄 산화물, 하프늄 지르코늄 산화물, 또는 이들의 조합 등을 포함한다.
게이트 전극(110)은 폴리실리콘 또는 금속을 포함할 수 있다. 금속은 탄탈룸 질화물(TaN), 니켈 실리콘(NiSi), 코발트 실리콘(CoSi), 몰리브덴(Mo), 구리(Cu), 텅스텐(W), 알루미늄(Al), 코발트(Co), 지르코늄(Zr), 백금(Pt), 또는 다른 적용 가능한 물질들을 포함한다. 게이트 전극(110)은 게이트 라스트 공정(또는 게이트 교체 공정)으로 형성될 수 있다. 일부 실시예들에서, 게이트 스택 구조물은 계면층, 캡핑층, 확산/장벽층, 또는 다른 적용 가능한 층들과 같은 추가적인 층들을 포함한다.
게이트 스택 구조물은 퇴적 공정, 포토리소그래피 공정 및 에칭 공정으로 형성된다. 퇴적 공정은 화학적 기상 증착(chemical vapor deposition; CVD), 물리적 기상 증착(physical vapor deposition; PVD), 원자층 증착(atomic layer deposition; ALD), 고밀도 플라즈마 CVD(high density plasma CVD; HDPCVD), 유기 금속 CVD(metal organic CVD; MOCVD), 원격 플라즈마 CVD(remote plasma CVD; RPCVD), 플라즈마 강화 CVD(plasma enhanced CVD; PECVD), 도금, 다른 적합한 방법, 및/또는 이들의 조합을 포함한다. 포토리소그래피 공정은 포토레지스트 코팅(예컨대, 스핀 온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 포스트 노출 베이킹, 포토레지스트 현상, 세정, 건조(예컨대, 하드 베이킹)를 포함한다. 에칭 공정은 습식 에칭 공정, 건식 에칭 공정, 또는 이들의 조합을 포함한다. 대안적으로, 포토리소그래피 공정은 마스크 없는 포토리소그래피, 전자 빔 기록, 및 이온 빔 기록과 같은 다른 적절한 방법에 의해 구현되거나 교체된다.
도 2a 내지 도 2f는 본 발명개시의 일부 실시예들에 따라, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 다양한 단계들의 단면도를 도시한다. 도 2a 내지 도 2f는 도 1의 화살표(1)를 따라 취해진 단면도를 도시하고, 화살표(1)는 x축에 평행하다.
도 2a를 참조하면, 제 1 하드 마스크층(112)이 게이트 전극(110) 상에 형성되고, 제 2 하드 마스크층(114)이 제 1 하드 마스크층(112) 상에 형성된다. 일부 실시예들에서, 제 1 하드 마스크층(112)은 실리콘 질화물, 실리콘 이산화물, 실리콘 산화물, 또는 다른 적용 가능한 물질들로 구성된다. 일부 실시예들에서, 제 2 하드 마스크층(114)은 실리콘 질화물, 실리콘 이산화물, 실리콘 산화물, 또는 다른 적용 가능한 물질들로 구성된다.
게이트 측벽 스페이서들(115)이 게이트 전극(110)의 대향 측벽들 상에 형성되고, 핀 측벽 스페이서들(105)이 핀 구조물(104)의 대향 측벽들 상에 형성된다. 게이트 측벽 스페이서들(115) 및 핀 측벽 스페이서들(105)은 독립적으로 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물(SiC), 실리콘 산화질화물, 또는 이들의 조합과 같은 유전체 물질들을 포함한다.
그 뒤에, 하부 반사 방지 코팅(bottom anti-reflective coating; BARC) 층(202)이 게이트 측벽 스페이서들(115) 상에 형성된다. BARC 층(202)은 패턴화 공정 동안에 하드 마스크층들(112, 114)로의 패턴 전달을 향상시키기 위해 포토레지스트층 아래서 이용된다. 일부 실시예들에서, 주입 공정이 N형 FinFET 디바이스 구조물(NMOS)(15) 상에 수행되는 경우, BARC(202) 및 BARC(202) 상에 형성되는 포토레지스트(도시되지 않음)가 P형 FinFET 디바이스 구조물(PMOS)(25)의 게이트 전극(110)을 커버하기 위해 게이트 전극(110) 상에 형성된다.
그 뒤에, 본 발명개시의 일부 실시예들에 따라, 도 2b에 도시된 바와 같이, 포토레지스트(도시되지 않음) 및 BARC(202)는 에칭 공정에 의해 제거된다. 에칭 공정은 습식 에칭 공정 또는 건식 에칭 공정일 수 있다. 일부 실시예들에서, 제 1 건식 에칭 공정이 대략 3 mtorr 내지 대략 50 mtorr의 범위의 압력에서 동작된다. 일부 실시예들에서, 제 1 건식 에칭 공정에 이용되는 가스는 메탄(CH4), 질소(N2), 헬륨(He), 산소(O2) 또는 이들의 조합을 포함한다. 일부 실시예들에서, 제 1 건식 에칭 공정은 대략 50 W 내지 대략 1000 W의 범위의 전력으로 동작된다. 일부 실시예들에서, 제 1 건식 에칭 공정은 대략 20 ℃ 내지 대략 80 ℃의 범위의 온도에서 동작된다.
BARC(202)가 제거된 이후에, 본 발명개시의 일부 실시예들에 따라, 도 2c에 도시된 바와 같이, 게이트 측벽 스페이서들(115)의 일부분 및 핀 측벽 스페이서들(105)의 일부분이 제거된다. 보다 구체적으로, 게이트 측벽 스페이서들(115)의 상부 부분이 제거되어 제 2 하드 마스크층(114)을 노출시킨다. 핀 측벽 스페이서들(105)의 상부 부분이 제거되어 핀 구조물(104)을 노출시킨다.
*일부 실시예들에서, 게이트 측벽 스페이서들(115) 및 핀 측벽 스페이서들(105)이 실리콘 질화물로 구성되는 경우, 제 2 에칭 공정이 수행되어 실리콘 질화물을 제거한다. 일부 실시예들에서, 제 2 에칭 공정은 제 2 건식 에칭 공정이고, 대략 3 mtorr 내지 대략 50 mtorr의 범위의 압력에서 동작된다. 일부 실시예들에서, 제 2 건식 에칭 공정에 이용되는 가스는 플루오로메테인(CH3F), 디플루오메테인(CH2F2), 메탄(CH4), 아르곤(Ar), 브롬화 수소(HBr), 질소(N2), 헬륨(He), 산소(O2) 또는 이들의 조합을 포함한다. 일부 실시예들에서, 제 2 건식 에칭 공정은 대략 50 W 내지 대략 1000 W의 범위의 전력으로 동작된다. 일부 실시예들에서, 제 2 건식 에칭 공정은 대략 20 ℃ 내지 대략 70 ℃의 범위의 온도에서 동작된다.
제 2 건식 에칭 공정 이후에, 핀 측벽 스페이서들(105) 각각은 제 1 높이(H1)를 갖는다. 일부 실시예들에서, 제 1 높이(H1)는 대략 0.1 nm 내지 대략 50 nm의 범위에 있다.
게이트 측벽 스페이서들(115)의 일부분 및 핀 측벽 스페이서들(105)의 일부분이 제거된 이후에, 본 발명개시의 일부 실시예들에 따라, 도 2d에 도시된 바와 같이, 잔여 핀 측벽 스페이서들(105)이 제거된다. 핀 측벽 스페이서들(105)은 제 3 에칭 공정에 의해 제거된다. 제 3 에칭 공정은 습식 에칭 공정 또는 건식 에칭 공정일 수 있다.
일부 실시예들에서, 제 3 에칭 공정은 제 3 건식 에칭 공정이고, 대략 3 mtorr 내지 대략 50 mtorr의 범위의 압력에서 동작된다. 일부 실시예들에서, 제 3 건식 에칭 공정에 이용되는 가스는 플루오로메테인(CH3F), 디플루오메테인(CH2F2), 메탄(CH4), 아르곤(Ar), 브롬화 수소(HBr), 질소(N2), 헬륨(He), 산소(O2) 또는 이들의 조합을 포함한다. 일부 실시예들에서, 제 3 건식 에칭 공정은 대략 50 W 내지 대략 1000 W의 범위의 전력으로 동작된다. 일부 실시예들에서, 제 3 건식 에칭 공정은 대략 20 ℃ 내지 대략 70 ℃의 범위의 온도에서 동작된다.
FinFET 디바이스 구조물의 성능은 에피택셜 구조물(도 2f에 도시된 210)의 볼륨에 상대적이다. 핀 측벽 스페이서들(105)이 분리 구조물 상에 남아 있으면, 에피택셜 구조물(도 2f에 도시된 210)의 볼륨은 핀 측벽 스페이서들(105)에 의해 제한될 것이다. 큰 볼륨의 에피택셜 구조물을 획득하기 위해서, 핀 측벽 스페이서들(105) 전체가 제거된다는 것을 유념해야 한다. 다시 말해서, 어떠한 핀 측벽 스페이서들도 핀 구조물(104)에 인접하게 형성되지 않는다.
제 3 건식 에칭 공정 이후에, 본 발명개시의 일부 실시예들에 따라, 도 2e에 도시된 바와 같이, 핀 구조물(104)의 일부분이 제거된다. 그 뒤에, 분리 구조물(108)의 일부분이 제거된다. 핀 구조물(104) 및 분리 구 조물(108)은 독립적으로 건식 에칭 공정 또는 습식 에칭 공정과 같은 에칭 공정에 의해 제거된다.
도 2e에 도시된 바와 같이, 잔여 핀 구조물(104)의 상부 표면이 분리 구조물(108)의 상부 표면보다 낮다. 트렌치(204)가 핀 구조물(104)의 일부분 및 분리 구조물(108)의 일부분을 리세스함으로써 형성된다. 리세스 공정은 습식 에칭 공정, 건식 에칭 공정, 또는 이들의 조합을 포함한다. 습식 에칭 공정에 이용되는 습식 에칭 용액은 암모니아수(NH4OH), 수산화 칼륨(KOH), 불화수소산(HF), 테트라메틸아모늄 하이드록사이드(TMAH), 다른 적용 가능한 용액, 또는 이들의 조합을 포함한다. 건식 에칭 공정은 바이어스 플라즈마 에칭 공정을 포함한다. 일부 실시예들에서, 바이어스 플라즈마 에칭 공정에 이용되는 가스는 테트라플루오르메탄(CF4), 트리플루오르화 질소(NF3), 육불화황(SF6) 또는 헬륨(He)을 포함한다.
에피택셜 구조물(도 2f에 도시된 210)은 트렌치(204)에 형성될 것이므로, 트렌치(204)의 크기는 잘 제어되어야 한다는 것을 유념해야 한다. 트렌치(204)는 하부 표면 및 하부 표면에 인접한 경사진 측벽들을 갖는다. 트렌치(204)는 하부 표면과 측벽 사이의 각(θ1) 및 깊이(D1)를 갖는다. 일부 실시예들에서, 깊이(D1)는 대략 0.1 nm 내지 대략 50 nm의 범위에 있다. 일부 실시예들에서, 트렌치(204)의 하부 표면과 측벽 사이의 각(θ1)은 대략 90 도 내지 대략 175 도의 범위에 있다. 각(θ1)이 너무 크면, 에피택셜 구조물(도 2f에 도시된 210)은 간격이 너무 커서 성장할 수가 없다. 각(θ1)이 너무 작으면, 에피택셜 구조물(도 2f에 도시된 210)의 볼륨은 작은 공간으로 제한될 것이고, 에피택셜 구조물은 더욱 작아질 것이다. 에피택셜 구조물의 디바이스 이동성은 볼륨에 영향을 받을 것이다.
핀 구조물(104)의 일부분 및 분리 구조물(108)의 일부분이 제거된 이후에, 본 발명개시의 일부 실시예들에 따라, 도 2f에 도시된 바와 같이, 에피택셜 구조물(210)이 핀 구조물(104) 상에 형성된다.
에피택셜 구조물(210)은 소스/드레인 에피택셜 구조물을 포함한다. 일부 실시예들에서, N형 FET(NFET) 디바이스가 요구되는 경우, 소스/드레인 에피택셜 구조물은 에피택셜하게 성장하는 실리콘(epi Si)을 포함한다. 대안적으로, P형 FET(PFET) 디바이스가 요구되는 경우, 소스/드레인 에피택셜 구조물은 에피택셜하게 성장하는 실리콘 게르마늄(SiGe)을 포함한다. 에피택셜 구조물(210)은 단일 층 또는 다수의 층을 가질 수 있다.
에피택셜 구조물(210)과 핀 구조물(104) 간의 계면은 분리 구조물(108)의 상부 표면보다 낮다는 것을 유념해야 한다. 에피택셜 구조물(210)은 트렌치(204)에 형성되고, 계속해서 위쪽으로 연장되어 오각형 유사 형상을 형성한다.
도 3은 본 발명개시의 일부 실시예들에 따라, 도 2f의 영역 A의 확대도를 도시한다. 도 3에 도시된 바와 같이, 에피택셜 구조물(210)은 오각형 유사 형상을 갖는다. 에피택셜 구조물(210)은 제 1 표면(210A), 제 2 표면(210B), 제 3 표면(210C), 제 4 표면(210D) 및 제 5 표면(210E)을 갖는다. 제 1 표면(210A), 제 2 표면(210B), 제 3 표면(210C) 및 제 4 표면(210D) 각각은 (111) 결정 방위를 갖는다.
제 1 표면(210A)과 제 2 표면(210B) 사이의 제 1 교차점(P1)이 분리 구조물의 상부 표면보다 높다. 제 3 표면(210C)과 제 4 표면(210D) 사이의 제 2 교차점(P2)이 분리 구조물의 상부 표면보다 높다. 제 1 교차점(P1) 및 제 2 교차점(P2)은 실질적으로 같은 레벨에 있다. 제 1 교차점(P1)은 분리 구조물(108)의 상부 표면으로부터 높이(H2)로 연장된다. 일부 실시예들에서, 높이(H2)는 대략 0.1 nm 내지 대략 50 nm의 범위에 있다. 제 5 표면(210E)과 제 1 표면(210A) 사이의 각(θ1)은 대략 90 도 내지 대략 175 도의 범위에 있다. 제 1 표면(210A)과 제 2 표면(210B) 사이의 각(θ2)은 대략 10 도 내지 대략 175 도의 범위에 있다.
도 3에 도시된 바와 같이, 에피택셜 구조물(210)은 높이(H3) 및 폭(W1)을 갖는다. 일부 실시예들에서, 높이(H3)는 대략 1 nm 내지 대략 100 nm의 범위에 있다. 높이(H3)가 매우 크면, 전기 저항이 낮아지게 된다. 높이(H3)가 매우 작으면, 전기 저항이 커지게 되어 디바이스 속도에 영향을 미친다. 일부 실시예들에서, 폭(W1)은 대략 1 nm 내지 대략 100 nm의 범위에 있다. 폭(W1)이 매우 크면, 에피택셜 구조물(210)은 이웃하는 것과 병합될 수 있어 쇼트 채널 효과를 일으킬 수 있다. 폭(W1)이 매우 작으면, 에피택셜 구조물(210)과 접촉하기 위한 콘택 윈도우가 좁아지게 될 것이므로, 회로 효과가 중단될 수 있다. 핀 구조물(104)은 폭(W2)을 갖는다. 일부 실시예들에서, 핀 구조물(104)의 폭(W2)은 에피택셜 구조물(210)의 폭(W1)보다 작다.
게다가, 에피택셜 구조물(210)의 높이(H3) 대 에피택셜 구조물(210)의 폭(W1)의 비(H3/W1)는 대략 1 내지 대략 100의 범위에 있다. 이 비율이 매우 크면, EPI 높이는 짧아져 저항 값에 영향을 미칠 것이다. 이 비율이 매우 작으면, EPI 볼륨은 더욱 작아져 디바이스의 장력을 줄일 것이다. 이들 모두는 디바이스의 이동도에 영향을 미칠 것이다.
에피택셜 구조물(210)은 게르마늄(Ge) 또는 실리콘(Si)과 같은 단일 원소 반도체 물질; 또는 갈륨 비소(GaAs), 알루미늄 갈륨 비소(AlGaAs)와 같은 화합물 반도체 물질; 또는 실리콘 게르마늄(SiGe), 갈륨 비소 인(GaAsP)과 같은 반도체 합금을 포함한다.
에피택셜 구조물(210)은 epi 공정으로 형성된다. epi 공정은 선택적 에피택셜 성장(selective epitaxial growth; SEG) 공정, 화학적 기상 증착(CVD) 공정[예컨대, 기상 에피택시(vapor-phase epitaxy; VPE), 저압 화학적 기상 증착(low pressure chemical vapor deposition; LPCVD) 및/또는 초고진공 CVD(ultra-high vacuum CVD; UHV-CVD)], 분자 빔 에피택시, 다른 적용 가능한 epi 공정, 또는 이들의 조합을 포함할 수 있다. 에피택셜 구조물(210)의 형성 공정은 기체 전구체 및/또는 액체 전구체를 이용할 수 있고, 그것 하에 핀 구조물(104)의 조성과 상호 작용할 수 있다.
에피택셜 구조물(210)은 epi 공정 동안에 인시츄(in-situ) 도핑되거나 도핑되지 않을 수 있다. 예를 들어, 에피택셜 성장된 SiGe 에피택셜 구조물은 붕소로 도핑될 수 있고; 에피택셜 성장된 Si 에피택셜 구조물은 Si:C 에피택셜 구조물을 형성하기 위해 탄소로 도핑되거나, Si:P 에피택셜 구조물을 형성하기 위해 인으로 도핑되거나, 또는 SiCP 에피택셜 구조물을 형성하기 위해 탄소 및 인 양자 모두로 도핑될 수 있다. 도핑은 이온 주입 공정, 플라즈마 잠입 이온 주입(plasma immersion ion implantation; PIII) 공정, 가스 및/또는 고체 소스 확산 공정, 다른 적합한 공정, 또는 이들의 조합에 의해 달성될 수 있다. 에피택셜 구조물(210)은 또한 급속 써멀 어닐링 공정과 같은 어닐링 공정에 노출될 수 있다. 어닐링 공정은 도펀트를 활성화시키는데 이용된다. 어닐링 공정은 급속 써멀 어닐링(rapid thermal anneal; RTA) 공정 및/또는 레이저 어닐링 공정을 포함할 수 있다.
에피택셜 구조물(210)이 인시츄 도핑되지 않으면, 제 2 주입 공정(즉, 접합 주입 공정)이 수행되어 에피택셜 구조물(210)을 도핑한다.
핀 구조물(104)은 게이트 전극(110)에 의해 둘러싸이거나 래핑된 채널 영역(도시되지 않음)을 포함한다. 채널 영역은 FinFET 디바이스 구조물의 캐리어 이동도를 인에이블하기 위해 변형되거나 응력이 가해지고, FinFET 디바이스 구조물 성능을 향상시킨다는 점에서, 에피택셜 구조물(210)의 격자 상수는 기판(102)과 상이하다.
그 뒤에, FinFET 디바이스 구조물은 다른 구조물 또는 디바이스를 형성하기 위해 다른 공정들을 계속해서 겪을 수 있다. 일부 실시예들에서, 금속화는 종래의 비아 또는 콘택과 같은 수직 상호 접속부 및 금속 라인과 같은 수평 상호 접속부를 포함한다. 다양한 상호 접속 피처들은 구리, 텅스텐 및/또는 실리사이드를 포함하는 다양한 전도체 물질들을 구현할 수 있다.
FinFET 디바이스 구조물의 성능은 에피택셜 구조물(210)의 볼륨에 상대적이다. 에피택셜 구조물(210)의 볼륨이 매우 작으면, FinFET 디바이스 구조물의 동작 속도는 너무 작아서 요구 사항을 충족할 수 없다.
앞서 언급한 바와 같이, 핀 측벽 스페이서들(105)이 분리 구조물(108) 상에 남아 있으면, 에피택셜 구조물의 성장 볼륨은 핀 측벽 스페이서들(105)에 의해 제한된다. 큰 볼륨의 에피택셜 구조물을 획득하기 위해서, 핀 측벽 스페이서들(105)이 완전히 제거된다. 게다가, 분리 구조물(108)의 일부분이 제거되어 트렌치(204)의 폭을 확대한다. 트렌치(204)는 깊이(D1) 및 각(θ1)을 갖도록 설계되므로, 에피택셜 구조물(210)은 성장 또는 연장되기 위해 더욱 많은 공간을 갖는다는 것을 유념해야 한다.
에피택셜 구조물(210)의 높이(H1) 및 볼륨은 트렌치(204)의 깊이(D1) 및 각(θ1)을 조정함으로써 제어된다는 것을 유념해야 한다. 일단 에피택셜 구조물(210)의 높이(H1) 및 볼륨이 잘 제어되면, FinFET 디바이스 구조물의 성능이 더욱 개선된다. 보다 구체적으로, FinFET 디바이스 구조물의 동작 속도가 더욱 증가된다. 게다가, 게이트 전극(110)의 저항은 감소될 수 있다.
핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하기 위한 실시예들이 제공된다. FinFET 디바이스 구조물은 기판 상에 형성된 분리 구조물, 및 기판 위로 연장되는 핀 구조물을 포함한다. 트렌치가 핀 구조물의 일부분 및 분리 구조물의 일부분을 리세스함으로써 형성된다. 에피택셜 구조물이 핀 구조물 상에 그리고 트렌치에 형성된다. 에피택셜 구조물은 게이트 스택 구조물에 인접하다. 에피택셜 구조물의 볼륨 및 높이는 트렌치의 깊이 및 각을 조정함으로써 제어된다. 일단 에피택셜 구조물의 볼륨이 효과적으로 제어되면, FinFET 디바이스 구조물의 성능이 더욱 개선된다. 보다 구체적으로, FinFET 디바이스 구조물의 동작 속도가 더욱 증가된다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물이 제공된다. FinFET 디바이스 구조물은 기판 및 기판 상에 형성된 분리 구조물을 포함한다. FinFET 디바이스 구조물은 기판 위로 연장되는 핀 구조물을 또한 포함하고, 핀 구조물은 분리 구조물에 내장된다. FinFET 디바이스 구조물은 핀 구조물 상에 형성된 에피택셜 구조물을 더 포함하고, 에피택셜 구조물은 오각형 유사 형상을 갖고, 에피텍셜 구조물과 핀 구조물 사이의 계면은 분리 구조물의 상부 표면보다 낮다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물이 제공된다. FinFET 디바이스 구조물은 기판 및 기판 상에 형성된 분리 구조물을 포함한다. FinFET 디바이스 구조물은 기판 위로 연장되는 핀 구조물을 또한 포함하고, 핀 구조물은 분리 구조물로부터 돌출된다. FinFET 디바이스 구조물은 핀 구조물 상에 형성된 에피택셜 구조물을 더 포함하고, 에피택셜 구조물은 하부 표면 및 하부 표면에 인접한 제 1 표면을 포함하고, 하부 표면과 제 1 표면 사이의 각은 대략 90 도 내지 대략 175 도의 범위에 있다.
일부 실시예들에서, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 방법이 제공된다. 방법은 기판을 제공하는 단계, 및 기판 상에 분리 구조물을 형성하는 단계를 포함한다. 방법은 또한 기판 위에 핀 구조물을 형성하는 단계를 포함하고, 핀 구조물은 분리 구조물에 내장된다. 방법은 핀 구조물의 상부 표면 및 측벽들 상에 핀 측벽 스페이서들을 형성하는 단계, 및 핀 구조물을 노출시키기 위해 핀 측벽 스페이서들을 제거하는 단계를 더 포함한다. 방법은 분리 구조물에 트렌치를 형성하기 위해 핀 구조물의 일부분 및 분리 구조물의 일부분을 리세스하는 단계를 포함한다. 방법은 트렌치로부터 에피택셜 구조물을 에피택셜 성장시키는 단계를 더 포함하고, 에피택셜 구조물은 핀 구조물 위에 형성되며, 에피텍셜 구조물과 핀 구조물 사이의 계면은 분리 구조물의 상부 표면보다 낮다.
당업자가 본 발명개시의 양태들을 더욱 잘 이해할 수 있도록 앞서 말한 것은 여러 실시예들의 특징들을 설명하였다. 당업자는 본 명세서에 도입된 실시예들의 동일한 이점들을 달성 및/또는 동일한 목적을 수행하는 구조 및 다른 공정을 설계 또는 수정하기 위한 기본으로서 본 발명개시를 용이하게 이용할 수 있음을 이해해야 한다. 당업자는 또한, 등가 구조물이 본 발명개시의 사상과 범위로부터 벗어나지 않도록 실현해야 하며, 본 발명개시의 사상과 범위로부터 벗어나지 않고 여기에서 다양한 변경, 대체 및 변화를 행할 수 있다.

Claims (10)

  1. 핀 전계 효과 트랜지스터(Fin field effect transistor; FinFET) 디바이스 구조물에 있어서,
    기판;
    상기 기판 상에 형성된 분리 구조물;
    상기 기판 위로 연장되는 핀 구조물 - 상기 핀 구조물은 상기 분리 구조물에 내장됨 - ; 및
    상기 핀 구조물 상에 형성된 에피택셜 구조물 - 상기 에피택셜 구조물은 제1 형상을 갖고, 상기 에피택셜 구조물과 상기 핀 구조물 사이의 계면은 상기 분리 구조물의 상부 표면보다 낮고, 상기 제1 형상의 하부면의 폭은 상기 분리 구조물의 상부 표면과 동일한 높이의 위치에서의 상기 제1 형상의 폭보다 작음 - ;
    을 포함하고,
    상기 에피택셜 구조물은 상기 핀 구조물의 상부 표면에 인접하는 측벽 표면을 포함하고, 상기 핀 구조물의 상부 표면과 상기 측벽 표면 사이의 각은 둔각인 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  2. 제 1 항에 있어서,
    상기 핀 구조물의 중앙 부분 위에 형성된 게이트 스택 구조물; 및
    상기 게이트 스택 구조물에 인접하게 형성된 게이트 측벽들
    을 더 포함하는 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  3. 제 1 항에 있어서, 상기 에피택셜 구조물은 트렌치에 형성되고, 상기 분리 구조물의 트렌치는 0.1 nm 내지 50 nm의 범위의 깊이를 갖는 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  4. 제 1 항에 있어서, 상기 에피택셜 구조물은 하부 표면, 및 상기 하부 표면에 인접한 상기 측벽 표면을 포함하고, 상기 하부 표면과 상기 측벽 표면 사이의 각은 90 도보다 크고 175 도 이하인 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  5. 제 1 항에 있어서, 상기 에피택셜 구조물은 소스/드레인 구조물을 포함하는 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  6. 제 1 항에 있어서, 상기 에피택셜 구조물은 제 1 폭을 갖고, 상기 핀 구조물은 제 2 폭을 가지며, 상기 제 1 폭은 상기 제 2 폭보다 큰 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  7. 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물에 있어서,
    기판;
    상기 기판 상에 형성된 분리 구조물;
    상기 기판 위로 연장되는 핀 구조물; 및
    상기 핀 구조물 상에 형성된 에피택셜 구조물 - 상기 에피택셜 구조물은 하부 표면 및 상기 하부 표면에 인접한 제 1 표면을 포함하고, 상기 하부 표면과 상기 제 1 표면 사이의 각은 90 도보다 크고 175 도 이하인 것임 -
    을 포함하고,
    상기 에피택셜 구조물 및 상기 핀 구조물 사이의 계면은 상기 분리 구조물의 상부 표면보다 낮은 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  8. 제 7 항에 있어서,
    상기 핀 구조물의 중앙 부분 위에 형성된 게이트 스택 구조물을 더 포함하고,
    상기 에피택셜 구조물은 상기 게이트 스택 구조물에 인접하게 형성되는 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물.
  9. 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 방법에 있어서,
    기판을 제공하는 단계;
    상기 기판 상에 분리 구조물을 형성하는 단계;
    상기 기판 위에 핀 구조물을 형성하는 단계 - 상기 핀 구조물은 상기 분리 구조물에 내장됨 - ;
    상기 핀 구조물의 상부 표면 및 측벽들 상에 핀 측벽 스페이서들을 형성하는 단계;
    상기 핀 구조물을 노출시키기 위해 상기 핀 측벽 스페이서들을 제거하는 단계;
    상기 분리 구조물에 트렌치를 형성하기 위해 상기 핀 구조물의 일부분 및 상기 분리 구조물의 일부분을 리세스하는 단계; 및
    상기 트렌치로부터 에피택셜 구조물을 에피택셜 성장시키는 단계 - 상기 에피택셜 구조물은 상기 핀 구조물 위에 형성되며, 상기 에피택셜 구조물과 상기 핀 구조물 사이의 계면은 상기 분리 구조물의 상부 표면보다 낮음 -
    를 포함하고,
    상기 에피택셜 구조물은 상기 핀 구조물의 상부 표면에 인접하는 측벽 표면을 포함하고, 상기 핀 구조물의 상부 표면과 상기 측벽 표면 사이의 각은 둔각인 것인, 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 핀 구조물의 중앙 부분 위에 게이트 스택 구조물을 형성하는 단계; 및
    상기 핀 구조물 상에 게이트 측벽 스페이서들을 형성하는 단계
    를 더 포함하는 핀 전계 효과 트랜지스터(FinFET) 디바이스 구조물을 형성하는 방법.
KR1020160160552A 2014-10-17 2016-11-29 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법 KR101800977B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,209 US10164108B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same
US14/517,209 2014-10-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140174831A Division KR20160045526A (ko) 2014-10-17 2014-12-08 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법

Publications (2)

Publication Number Publication Date
KR20160140562A true KR20160140562A (ko) 2016-12-07
KR101800977B1 KR101800977B1 (ko) 2017-11-23

Family

ID=55638043

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140174831A KR20160045526A (ko) 2014-10-17 2014-12-08 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법
KR1020150075107A KR101718212B1 (ko) 2014-10-17 2015-05-28 핀 전계 효과 트랜지스터(FinFET) 디바이스의 형성 방법
KR1020160160552A KR101800977B1 (ko) 2014-10-17 2016-11-29 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020140174831A KR20160045526A (ko) 2014-10-17 2014-12-08 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법
KR1020150075107A KR101718212B1 (ko) 2014-10-17 2015-05-28 핀 전계 효과 트랜지스터(FinFET) 디바이스의 형성 방법

Country Status (5)

Country Link
US (10) US10164108B2 (ko)
KR (3) KR20160045526A (ko)
CN (3) CN113299608A (ko)
DE (2) DE102015104490A1 (ko)
TW (3) TWI628793B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200055887A (ko) * 2018-11-14 2020-05-22 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3123520A4 (en) * 2014-03-28 2017-11-22 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10164050B2 (en) * 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9461043B1 (en) 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9601492B1 (en) * 2015-11-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10163912B2 (en) * 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10510608B2 (en) * 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI691076B (zh) * 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
US10468310B2 (en) 2016-10-26 2019-11-05 Globalfoundries Inc. Spacer integration scheme for FNET and PFET devices
KR102483546B1 (ko) 2016-11-28 2023-01-02 삼성전자주식회사 수직 채널을 가지는 반도체 소자
US10347750B2 (en) 2016-11-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102587891B1 (ko) 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
EP3340308B1 (en) 2016-12-22 2022-09-07 IMEC vzw Method for forming transistors on a substrate
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10665719B2 (en) * 2017-07-31 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with asymmetrical drain/source feature
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10504797B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10490650B2 (en) 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
CN109872972A (zh) * 2017-12-04 2019-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11616144B2 (en) 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
JP7042726B2 (ja) * 2018-10-04 2022-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11527650B2 (en) * 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11289602B2 (en) * 2020-01-03 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FeFET of 3D structure for capacitance matching
US11264502B2 (en) * 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11594117B2 (en) 2020-12-18 2023-02-28 Itron, Inc. Network edge detection and notification of gas pressure situation

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
JP2007149942A (ja) 2005-11-28 2007-06-14 Nec Electronics Corp 半導体装置およびその製造方法
US20070176253A1 (en) 2006-01-31 2007-08-02 Peng-Fei Wang Transistor, memory cell and method of manufacturing a transistor
JP4960007B2 (ja) 2006-04-26 2012-06-27 株式会社東芝 半導体装置及び半導体装置の製造方法
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
JP4267659B2 (ja) 2006-12-05 2009-05-27 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7692254B2 (en) 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
TWI463655B (zh) 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8890207B2 (en) 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US9159734B2 (en) * 2011-10-18 2015-10-13 Intel Corporation Antifuse element utilizing non-planar topology
US8574995B2 (en) 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US8748989B2 (en) 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
CN103426765B (zh) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US9910008B2 (en) 2012-07-06 2018-03-06 Robert Bosch Gmbh Methods for generating pH/ionic concentration gradient near electrode surfaces for modulating biomolecular interactions
US8673718B2 (en) * 2012-07-09 2014-03-18 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US8872280B2 (en) * 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140103451A1 (en) 2012-10-17 2014-04-17 International Business Machines Corporation Finfet circuits with various fin heights
US9159831B2 (en) * 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8669607B1 (en) 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency
CN103811345B (zh) 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9142633B2 (en) * 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US9059022B2 (en) 2012-12-28 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8796093B1 (en) * 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR102066848B1 (ko) 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
EP3050103B1 (en) 2013-09-27 2020-03-18 Intel Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9647113B2 (en) 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9190488B1 (en) 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US10164108B2 (en) * 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105826257B (zh) * 2015-01-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20180122908A1 (en) 2016-10-31 2018-05-03 International Business Machines Corporation Silicon germanium alloy fin with multiple threshold voltages

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200055887A (ko) * 2018-11-14 2020-05-22 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US11594598B2 (en) 2018-11-14 2023-02-28 Samsung Electronics Co., Ltd. Semiconductor device including source/drain region

Also Published As

Publication number Publication date
US11721762B2 (en) 2023-08-08
US20200161474A1 (en) 2020-05-21
TW201616652A (zh) 2016-05-01
US10686077B2 (en) 2020-06-16
US20230352592A1 (en) 2023-11-02
US20190115473A1 (en) 2019-04-18
US20160111540A1 (en) 2016-04-21
KR20160045526A (ko) 2016-04-27
DE102015104454A1 (de) 2016-04-21
US20160111542A1 (en) 2016-04-21
CN106206580A (zh) 2016-12-07
US20210074859A1 (en) 2021-03-11
KR20160045542A (ko) 2016-04-27
CN106206727A (zh) 2016-12-07
US20190123205A1 (en) 2019-04-25
US20170250286A1 (en) 2017-08-31
CN113299608A (zh) 2021-08-24
US10546956B2 (en) 2020-01-28
US20200220019A1 (en) 2020-07-09
US11158744B2 (en) 2021-10-26
TWI602301B (zh) 2017-10-11
US10164109B2 (en) 2018-12-25
CN106206727B (zh) 2020-04-17
KR101718212B1 (ko) 2017-03-20
TWI582989B (zh) 2017-05-11
US10164108B2 (en) 2018-12-25
TW201626571A (zh) 2016-07-16
US10840378B2 (en) 2020-11-17
TWI628793B (zh) 2018-07-01
US9653605B2 (en) 2017-05-16
US10964819B2 (en) 2021-03-30
TW201616653A (zh) 2016-05-01
KR101800977B1 (ko) 2017-11-23
DE102015104490A1 (de) 2016-04-21
US20160111420A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
KR101800977B1 (ko) 핀 전계 효과 트랜지스터(FinFET) 디바이스 및 이의 형성 방법
US11854811B2 (en) FinFET device and method of forming
KR101820226B1 (ko) 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 이의 형성 방법
KR101735204B1 (ko) 채널 영역의 이동성을 개선시키기 위한 apt(anti-punch through) 주입 영역 위의 장벽층을 포함하는 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 그 형성 방법
CN106548944B (zh) 半导体装置的制造方法
KR20170130327A (ko) 핀 전계 효과 트랜지스터(finfet) 디바이스 구조물 및 이의 형성 방법
US11031298B2 (en) Semiconductor device and method
CN110970489B (zh) 半导体器件和形成半导体器件的方法
KR101844712B1 (ko) 핀 전계 효과 트랜지스터 (FinFET) 디바이스 및 이의 형성 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant