DE102014106132B4 - Verfahren und Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, elektronischer Chip, umfassend eine Indikatorschicht, und Artikel, umfassend eine Mehrzahl derartiger elektronischer Chips - Google Patents

Verfahren und Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, elektronischer Chip, umfassend eine Indikatorschicht, und Artikel, umfassend eine Mehrzahl derartiger elektronischer Chips Download PDF

Info

Publication number
DE102014106132B4
DE102014106132B4 DE102014106132.2A DE102014106132A DE102014106132B4 DE 102014106132 B4 DE102014106132 B4 DE 102014106132B4 DE 102014106132 A DE102014106132 A DE 102014106132A DE 102014106132 B4 DE102014106132 B4 DE 102014106132B4
Authority
DE
Germany
Prior art keywords
etching
electronic chips
indicator
plasma
indicator structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014106132.2A
Other languages
English (en)
Other versions
DE102014106132A1 (de
Inventor
Hannes Eder
Manfred Engelhardt
Irmgard Escher-Poeppel
Edward Fuergut
Hans-Joerg Timme
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102014106132A1 publication Critical patent/DE102014106132A1/de
Application granted granted Critical
Publication of DE102014106132B4 publication Critical patent/DE102014106132B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/782Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element
    • H01L21/784Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Verfahren zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips (108, 306), die in einem gemeinsamen Substrat (102) miteinander verbunden sind, wobei das Verfahren umfasst:• Ätzen der elektronischen Chips (108, 306);• Detektieren von Informationen, welche eine wenigstens teilweise Entfernung einer Indikatorstruktur (110) nach einer Freilegung der Indikatorstruktur (110) anzeigen, die innerhalb wenigstens eines Teils der elektronischen Chips (108, 306) eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Indikatorstruktur (110) entfernt hat; und• Einstellen der Verarbeitung bei Detektieren der Informationen, welche die wenigstens teilweise Entfernung der Indikatorstruktur (110) anzeigen, wobei das Detektieren von Informationen ein Analysieren eines flüchtigen Stoffs (1000) in einer Umgebung der elektronischen Chips (108, 306) umfasst, wobei der flüchtige Stoff (1000) von einem Ätzprodukt beeinflusst wird, das durch die Entfernung von Material der Indikatorstruktur (110) aus den elektronischen Chips (108, 306) durch das Ätzen generiert wird.

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf Verfahren und Vorrichtungen zur Verarbeitung einer Mehrzahl von elektronischen Chips auf Substratebene, auf einen elektronischen Chip und auf einen Artikel.
  • Beschreibung der verwandten Technik
  • Herkömmliche Gehäuse, wie Formstrukturen, für elektronische Chips haben sich auf ein Niveau entwickelt, wo das Gehäuse die Leistung der elektronischen Chips nicht mehr signifikant beeinträchtigt. Außerdem ist die Verarbeitung elektronischer Chips auf Wafer-Ebene ein bekannter Vorgang zu ihrer effizienten Herstellung. Das Ätzen elektronischer Chips ist eine herkömmliche Technik zur Entfernung von Material daraus.
  • Verfahren zum Produzieren von Chipstapeln werden in der US 2009/0014889 A1 beschrieben. Die WO 2014/062886 A1 , die Stand der Technik gemäß §3(2) PatG darstellt, die US 2004/0080050 A1 sowie die US 2006/0157446 A1 befassen sich mit der Bestimmung des Endpunkts beim Plasmaätzen. Weitere mikroelektronische Geräte und Verfahren zu deren Herstellung sind in der US 2007/0262436 A1 beschrieben.
  • Es besteht jedoch potenziell noch Raum für die Verringerung der Herstellungskosten und Vereinfachung der Verarbeitung einzuhausender elektronischer Chips, während eine hohe Genauigkeit der Verarbeitung aufrechterhalten wird.
  • Zusammenfassung der Erfindung
  • Es ist eine Aufgabe der vorliegenden Erfindung, eine Möglichkeit bereitzustellen, elektronische Chips mit einer einfachen Verarbeitungsarchitektur und mit einer hohen Präzision in Bezug auf das nach der Verarbeitung verbleibende Chipmaterial herzustellen.
  • Diese Aufgabe wird durch die Gegenstände mit den Merkmalen gemäß den unabhängigen Patentansprüchen gelöst. Weitere Ausführungsbeispiele sind in den abhängigen Ansprüchen gezeigt.
  • Gemäß einer beispielhaften Ausführungsform wird ein Verfahren zur Verarbeitung einer Mehrzahl von gehäusten („packaged“) elektronischen Chips vorgesehen, die in einem gemeinsamen Substrat miteinander verbunden sind, wobei das Verfahren umfasst: Ätzen der elektronischen Chips, Detektieren von Informationen, welche eine wenigstens teilweise Entfernung einer Indikatorstruktur nach einer Freilegung der Indikatorstruktur anzeigen, die innerhalb wenigstens eines Teils der elektronischen Chips eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Indikatorstruktur entfernt hat, und Einstellen der Verarbeitung bei Detektieren der Informationen, welche die wenigstens teilweise Entfernung der Indikatorstruktur anzeigen, wobei das Detektieren von Informationen ein Analysieren eines flüchtigen Stoffs in einer Umgebung der elektronischen Chips umfasst, wobei der flüchtige Stoff von einem Ätzprodukt beeinflusst wird, das durch die Entfernung von Material der Indikatorstruktur aus den elektronischen Chips durch das Ätzen generiert wird.
  • Gemäß einer weiteren beispielhaften Ausführungsform wird eine Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, die in einem gemeinsamen Substrat miteinander verbunden sind, vorgesehen, wobei die Vorrichtung umfasst: Ätzmittel, die für das Ätzen der elektronischen Chips ausgelegt sind, Detektionsmittel, die für das Detektieren von Informationen ausgelegt sind, welche eine wenigstens teilweise Entfernung einer Indikatorstruktur nach der Freilegung der Indikatorstruktur anzeigen, die innerhalb wenigstens eines Teils der elektronischen Chips eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Indikatorstruktur entfernt hat, und Steuermittel, denen die detektierten Informationen zugeführt werden und die für das Einstellen der Verarbeitung bei Detektieren der Informationen ausgelegt sind, welche die wenigstens teilweise Entfernung der Indikatorstruktur anzeigen, wobei die Detektionsmittel für das Detektieren der Informationen durch das Analysieren eines flüchtigen Stoffs in einer Umgebung der elektronischen Chips ausgelegt sind, wobei der flüchtige Stoff durch ein Ätzprodukt beeinflusst wird, das durch das Entfernen von Material der Indikatorstruktur aus den elektronischen Chips durch Ätzen generiert wird.
  • Gemäß noch einer weiteren beispielhaften Ausführungsform wird ein Artikel vorgesehen, welcher umfasst: ein Substrat, eine Mehrzahl von elektronischen Chips, die innerhalb des Substrats miteinander verbunden sind, und eine kontinuierliche oder diskontinuerliche Indikatorschicht, die innerhalb der elektronischen Chips eingebettet ist, wobei die Indikatorschicht ausgelegt ist, wenigstens teilweise aus den elektronischen Chips durch Plasmaätzen entfernbar zu sein, so dass ein Plasmaätzprodukt der Indikatorschicht einen flüchtigen Stoff in einer Umgebung der elektronischen Chips beeinflusst, so dass die Freilegung der Indikatorschicht durch das Analysieren des flüchtigen Stoffs detektierbar ist.
  • Gemäß noch einer weiteren beispielhaften Ausführungsform wird ein elektronischer Chip vorgesehen, welcher umfasst: ein Halbleitersubstrat, wenigstens eine integrierte Schaltkreiskomponente, die im Halbleitersubstrat integriert ist, eine Indikatorschicht, die einen Außenflächenabschnitt des Halbleitersubstrats bildet, wobei die Indikatorschicht ausgelegt ist, wenigstens teilweise durch Plasmaätzen entfernbar zu sein, so dass ihr Plasmaätzprodukt einen flüchtigen Stoff in einer Umgebung des Halbleitersubstrats beeinflusst, so dass die Freilegung der Indikatorschicht durch das Analysieren des flüchtigen Stoffs detektierbar ist, und eine Formstruktur (Mold-Struktur), die wenigstens einen Teil des Halbleitersubstrats bedeckt.
  • Eine beispielhafte Ausführungsform kann den Vorteil haben, dass der Start der Entfernung von Material einer Indikatorstruktur in einem Inneren elektronischer Chips (wie Halbleiterchips) durch Ätzen über das Analysieren eines flüchtigen Stoffs detektiert wird, der generiert wird, wenn der Ätzvorgang wenigstens einen Teil der Indikatorstruktur aus den elektronischen Chips entfernt. Ein entsprechendes Detektionssignal kann anzeigen, dass der Ätzvorgang die Indikatorstruktur erreicht hat, und kann daher als Auslöser zum Einstellen des nachfolgenden Verarbeitungsvorgangs der elektronischen Chips verwendet werden. Die Detektion des Ereignisses des Beginns der Entfernung von Material der in den elektronischen Chips eingebetteten Indikatorstruktur kann ein genauer und ausfallsicherer Weg sein, eindeutige Informationen zu erhalten, dass die Verarbeitung ein bestimmtes Niveau erreicht hat, was erfordern kann, dass die weitere Verarbeitung der elektronischen Chips einzustellen ist. So kann die Prozesssteuerung nicht von Schätzungen oder dem Anstellen fragwürdiger Annahmen abhängig sein, sondern im Gegensatz dazu genau und reproduzierbar auf der Basis eines leicht detektierbaren Signals vorgenommen werden, das direkt von der Indikator- oder Signalstruktur generiert wird.
  • Beschreibung weiterer beispielhafter Ausführungsformen
  • Im Folgenden wenigstens weitere beispielhafte Ausführungsformen der Verfahren, Vorrichtungen, des elektronischen Chips und des Artikels erläutert.
  • Ein Grundgedanke einer beispielhaften Ausführungsform kann darin ersichtlich sein, dass eine eingeschlossene Indikatorstruktur (wie eine Indikatorschicht, Indikatorpunkte, etc.) innerhalb elektronischer Chips (wie Halbleiterchips) für ein gut definiertes Ausdünnen (insbesondere Plasmaausdünnen) in dem Gehäuse (TIP) gebildet werden kann. Eine derartige eingeschlossene Struktur kann zum Auslösen des Ätzendpunkts durch das Abbrechen des Ätzprozesses verwendet werden oder kann eine beliebige andere Anpassung der Chipverarbeitung auslösen.
  • Während des gemeinsamen Ätzvorgangs auf Substratebene können die elektronischen Chips nicht gehäust sein oder können durch eine Formstruktur gehäust werden (die auch als Einkapselungsstruktur bezeichnet werden kann). Im Kontext der vorliegenden Anmeldung kann der Ausdruck „gehäust“ insbesondere bedeuten, dass die elektronischen Chips in einem Gehäuse untergebracht oder aufgenommen werden. Ein Gehäuse kann seinerseits als Ummantelung (beispielsweise aus einem Metall, einem Kunststoff, einem Glas oder einem keramischen Material) bezeichnet werden, welche die elektronischen Chips enthält. Ein solches Gehäuse kann einen Schutz gegen Schläge und Korrosion vorsehen, kann Kontaktstifte oder Anschlüsse halten und/oder kann in den elektronischen Chips im Normalbetrieb erzeugte Wärme abführen. Ein Einhausen der elektronischen Chips vor dem Ätzvorgang ist äußerst vorteilhaft, da dies die Handhabung der empfindlichen elektronischen Chips signifikant vereinfacht, die nach dem Rückätzvorgang eine sehr geringe Dicke aufweisen können.
  • Ein entsprechender Vorgang kann das Einhausen einzelner elektronischer Chips oder eines Bulk-Wafers mit integrierten elektronischen Chips durch eine Formstruktur (wie ein Formsubstrat), Ausdünnen der elektronischen Chips, während sie gehäust werden, und Singularisieren (oder Trennen) der einzelnen elektronischen Chips umfassen, so dass jeder singularisierte ausgedünnte elektronische Chip auch einen Trägerteil der Formstruktur umfasst. So müssen anstelle von sequentiellen Vorgängen nur serielle Vorgänge mit den einzelnen ausgedünnten Chips durchgeführt werden. Daher ist keine Handhabung dünner elektronischer Chips ohne Trägergehäuse notwendig. Während der Verarbeitung kann die Formstruktur ferner als Maske dienen, so dass zusätzliche (insbesondere rückseitige) Masken entbehrlich sind, oder die Anzahl von Masken verringert werden kann.
  • Insbesondere kann ein derartiges Verarbeitungskonzept die Herstellung elektronischer Chips mit präzise definierten Eigenschaften des Materials (wie einer Sequenz von Halbleiterschichten) gestatten, das nach der Verarbeitung zurückbleibt, auch wenn die Variationen von Eigenschaften des Ausgangsmaterials (wie Variationen zwischen unterschiedlichen geformten Wafern, Variationen zwischen unterschiedlichen Abschnitten eines verarbeiteten Wafers, in das Schleifen eines Wafers involvierte Variationen, während des Ätzes von Substratmaterial involvierte Variationen) erhebliche Abweichungen als Folge erheblicher Toleranzen zeigen. Mit anderen Worten gestattet die Implementation einer Indikatorstruktur zur Prozesssteuerung eine Einstellung einer präzise definierten Dicke des zurückbleibenden Halbleitermaterials, auch wenn die Ausgangsdicke der Halbleiterstruktur undefiniert oder nur sehr grob definiert war. Die Genauigkeit der Dickeneinstellung gemäß beispielhaften Ausführungsformen kann beispielsweise in der Größenordnung einiger weniger Mikrometer oder darunter liegen, was signifikant besser ist als eine Genauigkeit, die mit herkömmlichen Ansätzen erhalten werden kann.
  • Gemäß einer beispielhaften Ausführungsform wird die Herstellung extrem dünner elektronischer Chips möglich gemacht, insbesondere für Anwendungen, in denen die Dicke des elektronischen Chips mit einer elektrischen Funktion korreliert, anstatt einen rein geometrischen Zweck zu erfüllen. Für elektronische Leistungsanwendungen führt beispielsweise eine ausgedünnte Halbleiterstruktur zu einem sehr kleinen elektrischen Widerstand, da ein Stromweg durch diese ausgedünnte Halbleiterstruktur kurz gehalten werden kann, so dass der Transport eines elektrischen Signals von einem externen Glied in den elektronischen Chip sowie der Transport eines elektrischen Signals vom elektronischen Chip zu einem externen Glied mit niedrigen Leistungsverlusten (wie ohmschen Verlusten) erzielt werden kann. In einer weiteren Anwendung auf dem Gebiet mikroelektromechanischer System (MEMS) kann eine ausgedünnte Halbleiterstruktur beispielsweise als Membran eines Sensors oder eines Betätigers verwendet werden, der daher mit einer geringen Dicke und folglich einer hohen Empfindlichkeit hergestellt werden kann.
  • In einer Ausführungsform kann die Indikatorstruktur eine Ätzstopp-Indikatorstruktur sein. Eine solche Ätzstopp-Indikatorstruktur kann, wenn ihre Entfernung beginnt, und ihr Material von einer Festphase in eine flüchtige Phase (wie Plasma oder Gas) übergeführt wird, ein Signal vorsehen, welches das Ende des Ätzvorgangs auslösen kann.
  • Insbesondere können Gasätzverfahren (wie Plasmaätzen) gemäß Ausführungsformen implementiert werden, und das zu ätzende Material ist vorzugsweise Silicium, beispielsweise kristallines Silicium oder Polysilicium. Das Ätzen kann unter Verwendung eines Ätzgases durchgeführt werden.
  • In einer Ausführungsform wird das Ätzen der elektronischen Chips durch Plasmaätzen vorgenommen, beispielsweise unter Verwendung von Chlor oder Fluor. Ein solcher Plasmaätzvorgang kann modifiziert werden, nachdem die wenigstens teilweise Entfernung der Indikatorstruktur abgefühlt wurde. „Plasmaätzen“ kann auch als reaktives Ionenätzen (RIE) bezeichnet werden und ist eine Ätztechnologie, die chemisch reaktives Plasma verwendet, um auf Wafern abgeschiedenes Material zu entfernen. Das Plasma wird unter niedrigem Druck von einem elektromagnetischen Feld generiert. Hochenergieionen vom Plasma greifen die Waferfläche an und reagieren mit dieser. Beim Vornehmen von Plasmaätzen ist es herkömmlich schwierig, klar und genau zu bestimmen, dass der Ätzvorgang bis zu einer gewünschten Tiefe des elektronischen Chips (wie einer Halbleiter-Schichtsequenz) fortgeschritten ist. Wenn das Plasmaätzen beginnt, die Indikatorstruktur zu entfernen, kann dies als Auslöser zum Stoppen des Plasmaätzvorgangs herangezogen werden.
  • Dementsprechend kann die Plasmaätzeinrichtung für ein Plasmaätzen der elektronischen Chips ausgelegt sein. Insbesondere kann die Plasmaätzeinrichtung als reaktive Ionenätzeinrichtung ausgelegt sein.
  • In einer Ausführungsform wird das Detektieren der Informationen durch das Analysieren eines flüchtigen Stoffs in einer Umgebung der elektronischen Chips (insbesondere innerhalb einer Ätzkammer) vorgenommen. Ein derartiger flüchtiger Stoff wird durch ein Ätzprodukt beeinflusst, das durch das Entfernen von Material der Indikatorstruktur aus den elektronischen Chips durch Ätzen generiert wird. Mit anderen Worten kann ein Gas oder Plasmaprodukt oder eine Substanz analysiert werden, das bzw. die in der Umgebung der geätzten elektronischen Chips generiert wird, wenn das Ätzen die Indikatorstruktur angreift. So kann die Detektion in der Ätzkammer durch das Analysieren eines gasförmigen und/oder Plasmastoffs mit einer Eigenschaft oder Zusammensetzung vorgenommen werden, die sich charakteristisch verändert, wenn der Ätzvorgang die Indikatorstruktur anzugreifen beginnt. Daher kann ein entsprechender Sensor zweckmäßig an einem beliebigen gewünschten Ort innerhalb der Ätzkammer oder in einer Auslassleitung zum Detektieren von Änderungen in der Zusammensetzung des flüchtigen Stoffs platziert werden.
  • Dementsprechend kann die Detektionseinrichtung für das Detektieren von Informationen durch das Analysieren eines flüchtigen Stoffs in einer Umgebung der elektronischen Chips ausgelegt sein, welcher flüchtige Stoff durch ein Ätzprodukt beeinflusst wird, das durch das Entfernen von Material der Indikatorstruktur aus den elektronischen Chips durch das Ätzen generiert wird. Die Detektionseinrichtung kann ein oder mehrere Entscheidungskriterien in Abhängigkeit vom Ergebnis der Detektion anlegen, die spezifiziert, ob angenommen wird oder nicht, dass die Indikatorstrukturentfernung bereits begonnen hat. Ein Sensor der Detektionseinrichtung kann direkt in der Plasmakammer oder in einem Auslasskanal stromabwärts von der Plasmakammer angeordnet sein.
  • In einer Ausführungsform ist der analysierte flüchtige Stoff Plasma, das auch zum Plasmaätzen verwendet wird, das jedoch durch den Ätzangriff auf die Indikatorstruktur beeinflusst wird. Da die Entfernung der Indikatorstruktur auch einen Einfluss auf das Plasma innerhalb der Ätzkammer selbst hat, ist eine Veränderung in der chemischen Zusammensetzung des Plasmas ein Fingerabdruck, dass die Ent-fernung der Indikatorstruktur aus den elektronischen Chips begonnen hat, und kann daher als Auslöser zum Stoppen des Ätzvorgangs verwendet werden.
  • In einer Ausführungsform wird das Analysieren des Plasmas durch optische Emissionsspektroskopie und/oder kohärente Anti-Stokes-Raman-Streuung (CARS) vorgenommen. Diese Analyseverfahren sind vorteilhaft. Es können jedoch auch andere Analyseverfahren ebenso zum Analysieren des Plasmas innerhalb der Ätzkammer verwendet werden.
  • In einer Ausführungsform ist der analysierte flüchtige Stoff Abgas oder Abflussgas, das beim Entfernen von Material der Indikatorstruktur durch Plasmaätzen generiert wird. Innerhalb der Ätzkammer wird Druck durch eine Pumpe aufrechterhalten, die einen flüchtigen Stoff aus der Ätzkammer absaugt. Da der flüchtige Stoff innerhalb der Ätzkammer beim Starten, um das Indikatorstrukturmaterial durch Ätzen zu entfernen, modifiziert wird, kann die plötzliche Detektion vorher bekannter Komponenten (entsprechend der chemischen Zusammensetzung der Indikatorstruktur) davon in einer Auslassleitung stromabwärts von der Ätzkammer als Auslöser verwendet werden, um den Ätzvorgang zu stoppen, da dies ermöglicht, den Schluss zu ziehen, dass der Ätzvorgang nun die Indikatorstruktur erreicht hat.
  • In einer Ausführungsform wird das Analysieren des Abgases oder Abflussgases durch optische Emissionsspektroskopie und/oder Massenspektroskopie vorgenommen. Obwohl diese spektroskopischen Verfahren für die Integration in eine Auslassleitung höchst geeignet sind, kann es andere Verfahren zur Analyse des Abflussgases aus der Ätzkammer geben, die auch implementiert werden können.
  • In einer Ausführungsform kann die Indikatorstruktur ein Dotierungsmittel (wie n-Dotierungsmittel oder p-Dotierungsmittel), implantiertes Material (beispielsweise ein optisch detektierbares oder unüblich schweres oder leichtes Material oder beispielsweise ein Nicht-Silicium-Material, wenn das Substrat der elektronischen Chips ein Silicium-Substrat ist) und/oder eine abgeschiedene Schicht (beispielsweise eine planare Schicht, die kontinuierlich oder diskontinuierlich sein kann) umfassen. Die einzige Anforderung für ein geeignetes Material der Indikatorstruktur ist, dass es detektierbare Eigenschaften aufweist, die sich ausreichend von den Eigenschaften des umgebenden Materials der elektronischen Chips unterscheiden.
  • In einer Ausführungsform werden alle elektronischen Chips gleichzeitig geätzt. Durch das Behandeln der verschiedenen elektronischen Chips (insbesondere Halbleiterchips mit darauf gebildeten integrierten Schaltungen) auf einer Wafer-Ebene, d.h. vor einer Singularisierung der einzelenen elektronischen Chips, ist das Ätzen aller elektronischen Chips zur gleichen Zeit und in einer Charge möglich. Dies sieht eine sehr ökonomische Behandlung der Chips vor.
  • In einer Ausführungsform ist der Ätzvorgang ein selektiver Ätzvorgang, so dass eine Ätzrate (d.h. geätztes Material pro Zeit) von Material der Indikatorstruktur anders ist als, insbesondere kleiner als oder größer als, eine Ätzrate von Material direkt benachbart oder neben der Indikatorstruktur. So können sowohl das Material der Indikatorstruktur als auch das Material seiner Umgebung geeignet ätzbar sein. Die geätzte Materialdicke pro Ätzzeit kann jedoch für das umgebende Material größer sein als für das Material der Indikatorstruktur (beispielsweise mit einem Verhältnis zwischen 2 und 10). Die Kombination eines selektiven Ätzvorgangs und der Verwendung eines Signals, das bei der Entfernung der Indikatorstruktur durch Ätzen detektiert wird, sieht eine sehr zuverlässige Architektur zum genauen Definieren eines Ätzstopps vor. In einer alternativen Ausführungsform ist jedoch die Ätzrate der Indikatorstruktur größer als (beispielsweise mit einem Verhältnis zwischen 2 und 10) die Ätzrate von benachbartem Halbleitermaterial. Dies wird immer die Folge haben, dass ein sehr ausgeprägtes Signal detektiert werden kann, wenn die Entfernung der Indikatorstruktur beginnt, da dies die Menge an entferntem detektierbaren Material pro Zeitintervall erhöht und daher die Empfindlichkeit der Detektion erhöht.
  • In einer Ausführungsform umfasst die Mehrzahl von gehäusten elektronischen Chips, die im gemeinsamen Substrat miteinander verbunden sind, einen Halbleiter-Wafer, der in die elektronischen Chips durch Formstrukturen als Gehäuse geteilt ist. In einer derartigen Ausführungsform sind die elektronischen Chips innerhalb des Wafer-Verbunds direkt miteinander verbunden, wobei eine Form einige Sektionen aufweisen kann, die einzelne elektronische Chips innerhalb dieses Wafer-Verbunds definieren. Das Einhausen elektronischer Chips bereits auf Wafer-Ebene hat den Vorteil, dass die Handhabung einzelner elektronischer Chips nach der Singularisierung dank ihrer Verbindung mit einem Formteil signifikant vereinfacht wird, auch wenn die elektronischen Chips durch den Ätzvorgang auf eine sehr geringe Dicke ausgedünnt wurden.
  • In einer Ausführungsform kann das Einstellen der Verarbeitung umfassen: Stoppen des Ätzens, Modifizieren der Ätzrate (d.h. geätztes Material pro Zeit), Modifizieren eines Ätzparameters, Modifizieren des Ätzprozesses und Fortsetzen des Ätzens mit denselben Ätzbedingungen oder mit modifizierten Ätzbedingungen für ein vordefiniertes zusätzliches Ätzzeitintervall (vor der Beendigung des Ätzens). Allgemeiner kann eine erste Verarbeitungssequenz oder ein Schritt vor dem Initiieren der Entfernung der Indikatorstruktur durchgeführt werden. Bei der Detektion des Beginns der Entfernung der Indikatorschicht kann eine weitere zweite Verarbeitungssequenz oder ein Schritt gestartet werden. Daher kann in einer Ausführungsform der Start der Entfernung einer Signalschicht (oder einer beliebigen anderen Indikatorstruktur) zur Beendigung des Ätzvorgangs führen. Alternativ dazu kann die Detektion der Entfernung die Folge haben, dass die Ätzrate verringert (oder erhöht) wird. Es ist auch möglich, dass das Ätzen nur für ein zusätzliches Zeitintervall nach der Detektion der Entfernung der Indikatorstruktur fortgesetzt wird. Weitere Anpassungen der Verarbeitung sind ansprechend auf die Indikatorstrukturfreilegung möglich, wie eine Änderung eines Ätzmittels oder dgl.
  • In einer Ausführungsform ist die Indikatorstruktur eine Indikatorschicht. Die letztere kann in demselben Tiefenniveau innerhalb aller elektronischen Chips eingebettet sein. Daher, insbesondere wenn der Ätzvorgang anisotrop ist, kann der Start der Entfernung von Indikatorstruktursektionen in unterschiedlichen elektronischen Chips gleichzeitig auftreten. Durch das Detektieren der Zeitabhängigkeit des Signals, das eine Entfernung der einzelnen Ätzstopp-Indikatorsektionen der gemeinsamen Signalschicht anzeigt, ist eine Steuerung des Ätzprozesses (beispielsweise hinsichtlich der Verifikation des anisotropen Charakters davon) möglich. Ferner kann die Bildung einer Indikatorschicht in demselben Tiefenniveau innerhalb aller elektronischen Chips auf Wafer-Ebene mit vertretbarem Aufwand (beispielsweise durch einen gemeinsamen Diffusions- oder Dotierungsvorgang) vorgenommen werden.
  • In einer Ausführungsform umfasst das Verfahren das Stoppen des Ätzens und anschließende Singularisieren der elektronischen Chips aus dem Substrat. Eine solche Singularisierung oder Trennung des Wafers in die einzelnen Chips kann durch Sägen, Ätzen oder dgl. vorgenommen werden. Das Einhausen der elektronischen Chips durch die Form- oder Einkapselungsstruktur vor der Singularisierung vereinfacht die Handhabung der einzelnen, mechanisch empfindlichen ausgedünnten Chips signifikant.
  • In einer Ausführungsform ist der Artikel gehäust, d.h. umfasst eine Formstruktur, durch welche die Mehrzahl von elektronischen Chips gehäust wird. Eine derartige Formstruktur kann aus einem keramischen oder Kunststoffmaterial hergestellt sein und kann als mechanischer Schutz der elektronischen Chips, zur Abfuhr von Wärme von den Chips und als Träger für einen Anschlussrahmen oder dgl. dienen, durch den die elektronischen Chips mit einer Umgebung elektrisch verbunden werden können.
  • In einer Ausführungsform umfasst das Substrat beispielsweise einen plattenförmigen Wafer (insbesondere einen Halbleiter-Wafer), der die Mehrzahl von elektronischen Chips als Sektionen des Wafers umfasst. Während sie verarbeitet werden, können die elektronischen Chips so weiterhin eine einteilige Struktur bilden und können auf Wafer-Ebene geätzt werden. In einer derartigen Ausführungsform sieht die Indikatorstruktur (beispielsweise eine gemeinsame Signalschicht in einem konstanten Tiefenniveau über den gesamten Wafer) eine geeignete Basis zum Steuern des gemeinsamen Ätzprozesses vor.
  • In einer weiteren Ausführungsform ist das Substrat eine, beispielsweise plattenförmige, Form, die eine Mehrzahl von Vertiefungen aufweist, wobei jede der Vertiefungen einen jeweiligen einen der Mehrzahl von elektronischen Chips aufnimmt. Durch das Unterbringen der einzelnen elektronischen Chips in einem beispielsweise zweidimensionalen Array von Aufnahmevolumina, die in einem Einkapselungssubstrat gebildet sind (welches insbesondere gebildet werden kann durch das Formen eines flüssigen oder Granulatmaterials, das als Einkapselungsmittel bezeichnet werden kann, der Formstruktur rund um die einzelnen elektronischen Chips und dann Erhärten des Einkapselungsmittels), können alle elektronischen Chips anschließend gleichzeitig ausgedünnt werden, ohne dass Probleme durch die schwierige Handhabung sehr dünner elektronischer Chips vor dem Einhausen auftreten. Insbesondere kann der Artikel als eingebettetes Wafer-Ebenen-Gehäuse (eWLP) ausgelegt sein. eWLP ist eine Einhausungstechnik, die geformte Träger und Fan-Out-Umverteilungsschichten verwendet. Die Chips werden singularisiert, in geformte Träger eingebettet und dann auf künstliche Wafer rekonstituiert.
  • In noch einer weiteren Ausführungsform ist das Substrat eine, beispielsweise plattenförmige, Form mit einer Vertiefung, die einen Wafer aufnimmt, der eine Mehrzahl von elektronischen Chips als Sektionen des Wafers umfasst. In einer derartigen Ausführungsform kann der gesamte Wafer in ein einzelnes Aufnahmevolumen als Ganzes eingebracht werden (insbesondere durch das Formen eines flüssigen oder Granulatmaterials, das als Einkapselungsmittel bezeichnet werden kann, der Formstruktur rund um den Wafer und dann Erhärten des Einkapselungsmittels) und kann dann durch Rückätzen ausgedünnt werden. Auch in einer derartigen Ausführungsform kann die Handhabung einzelner ausgedünnter elektronischer Chips, bevor sie in eine Form oder Einkapselungsstruktur gehäust werden, vorteilhaft vermieden werden.
  • In einer Ausführungsform ist der Artikel frei von einer eingebetteten plasmaätzresistenten Schicht. So ist es gemäß beispielhaften Ausführungsformen entbehrlich, eine herkömmliche Ätzstoppschicht (wie eine Siliciumdioxid-Schicht eines SOI-Substrats, Silicium-auf-Isolator) vorzusehen, die chemisch so ausgelegt ist, dass der Plasmaätzvorgang diese Ätzstoppschicht nicht entfernen kann. Die Notwendigkeit eine solche Ätzstoppschicht vorzusehen, die durch den Ätzprozess nicht entfernbar ist, schränkt jedoch einen Entwickler von Integrierte-Schaltungen hinsichtlich der Zusammensetzung der Halbleiterchips auf spezielle Substrate ein. Ferner gibt das Vorsehen einer Ätzstoppschicht einer Bedienungsperson kein positives Detektorsignal, das die Tatsache anzeigt, dass der Ätzprozess nun gestoppt werden sollte, so dass eine bestimmte Sicherheitszeit abgewartet werden muss, bis der Ätzvorgang abgeschlossen werden kann, um sicherzustellen, dass die Ätzstoppschicht tatsächlich bereits erreicht wurde. Im Gegensatz dazu erhalten beispielhafte Ausführungsformen ein positives Detektionssignal, das anzeigt, dass der Ätzvorgang die gewünschte Tiefe in den elektronischen Chips erreicht hat. So können die Sicherheit und Geschwindigkeit des Ätzvorgangs und seiner Beendigung erhöht werden.
  • In einer Ausführungsform umfasst der Artikel eine Flächenschutzstruktur, die unter der Indikatorschicht angeordnet ist, wobei die Flächenschutzstruktur ausgelegt ist, die Stoppindikatorschicht in Bezug auf wenigstens eine von der Gruppe bestehend aus einer Umverteilungsstruktur, einer Metallisierungsstruktur und einer Isolierstruktur zu trennen (siehe beispielsweise 1 und 2). Daher kann die Verarbeitung beispielhafter Ausführungsformen, die das Ausdünnen der elektronischen Chips involvieren, vorgenommen werden, nachdem die Verarbeitung der Umverteilung, Metallisierung und Isolierung abgeschlossen wurde.
  • In einer Ausführungsform können die elektronischen Chips als Sensoren oder Betätiger in mikroelektromechanischen Systemen (MEMS), beispielsweise als Drucksensoren oder Beschleunigungssensoren, verwendet werden. In einer weiteren Ausführungsform können die elektronischen Chips als Halbleiterchips für Leistungsanwendungen beispielsweise im Automobilsektor verwendet werden und können beispielsweise wenigstens einen integrierten Bipolartransistor mit isoliertem Gate (IGBT) und/oder wenigstens eine integrierte Diode aufweisen. Allgemeiner kann der elektronische Chip wenigstens ein Integrierte-Schaltungsbauteil einer Gruppe bestehend aus einem Schalter, einer Diode, einer Halbbrücke und einem Inverter umfassen. Integrierte-Schaltungsbauteile der elektronischen Chips für Leistungsmodule können daher Schalter (wie ein Metalloxid-Halbleiter-Feldeffekttransistor (MOSFET), ein IGBT, etc.), Halbbrücken (d.h. ein Inverterschenkel, mit zwei Schaltern und entsprechenden Dioden) und ein Dreiphasen-Inverter (d.h. sechs Schalter und entsprechende Dioden) sein.
  • Obwohl viele beispielhaften Ausführungsformen in dieser Beschreibung im Kontext der Dünnschichttechnologie/Halbleitertechnologie beschrieben werden, können auch andere beispielhafte Ausführungsformen auf dem Gebiet der Leiterplatten (PCB)-Technologie oder in anderen elektronischen Technologien implementiert werden.
  • Als Substrat oder Wafer kann ein Halbleitersubstrat, vorzugsweise ein Silicium-Substrat, verwendet werden. Alternativ dazu kann ein Siliciumoxid- oder anderes Isolatorsubstrat vorgesehen werden. Es ist auch möglich, ein Germanium-Substrat oder ein III-V-Halbleitermaterial zu implementieren. Beispielsweise können beispielhafte Ausführungsform in einer GaN- oder SiC-Technologie implementiert werden. Für das Einhausen, Formen oder Einkapseln kann ein Kunststoffmaterial oder ein keramisches Material verwendet werden. Ferner können beispielhafte Ausführungsform Standard-Halbleiter-Verarbeitungstechnologien, wie geeignete Ätztechnologien (einschießlich isotroper und anisotroper Ätztechnologien, insbesondere Plasmaätzen, Trockenätzen, Nassätzen), Musterungstechnologien (die Lithografiemasken involvieren können), Abscheidungstechnologien (wie chemische Dampfabscheidung (CVD), plasmaverstärkte chemische Dampfabscheidung (PECVD), Atomschichtabscheidung (ALD), Sputtern, etc.) verwenden.
  • Die obigen und andere Ziele, Merkmale und Vorteile der vorliegenden Erfindung gehen aus der folgenden Beschreibung und den beigeschlossenen Ansprüchen hervor, die in Verbindung mit den beigeschlossenen Zeichnungen zu lesen sind, in denen ähnliche Teile oder Elemente durch ähnliche Bezugszahlen bezeichnet sind.
  • Figurenliste
  • Die beigeschlossenen Zeichnungen, die eingeschlossen sind, um ein weiteres Verständnis beispielhafter Ausführungsform zu ermöglichen und einen Teil der Spezifikation bilden, veranschaulichen beispielhafte Ausführungsformen.
  • In den Zeichnungen:
    • zeigt 1 eine Schnittansicht eines Artikels gemäß einer beispielhaften Ausführungsform am Beginn eines Ätzvorgangs;
    • zeigt 2 den Artikel gemäß 1, wenn der Ätzvorgang den Artikel bis zur Freilegung einer Ätzstopp-Indikatorschicht ausgedünnt hat, um ein Ende des Ätzvorgangs auszulösen;
    • zeigen 3 und 4 einen herkömmlichen Artikel am Beginn eines Ätzvorgangs, und wenn ein Ätzstopp erreicht wird;
    • zeigt 5 eine Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips auf Wafer-Ebene gemäß einer beispielhaften Ausführungsform;
    • zeigt 6 ein Blockbild eines Verfahrens zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips auf Wafer-Ebene gemäß einer beispielhaften Ausführungsform;
    • zeigen 7 bis 11 Schnittansichten unterschiedlicher Strukturen, die während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips, die innerhalb eines gemeinsamen Formsubstrats gehäust sind, gemäß einer beispielhaften Ausführungsform erhalten werden;
    • zeigen 12 bis 14 Schnittansichten unterschiedlicher Strukturen, die während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips auf Wafer-Ebene gemäß einer beispielhaften Ausführungsform erhalten werden;
    • zeigen 15 bis 18 Schnittansichten unterschiedlicher Strukturen, die während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips auf Wafer-Ebene, wobei der Wafer als Ganzes innerhalb eines Formsubstrats gehäust ist, gemäß einer beispielhaften Ausführungsform erhalten werden.
  • Detaillierte Beschreibung beispielhafter Ausführungsformen
  • Die Darstellung in der Zeichnung ist schematisch.
  • Ein herkömmlicher Ansatz des Ätzens elektronischer Chips ist ein zeitlich gesteuerter Plasmaätzprozess, wobei der Plasmaätzprozess abgebrochen wird, wenn die Zieltiefe erreicht ist. Die Prozessdauer kann durch Kenntnis der Ätzrate für das Halbleitersubstrat berechnet werden. Variationen in der Substrat-Ätzrate (innerhalb eines Wafers und/oder Waferzu-Wafer) übersetzen sich direkt in Variationen der Ätztiefe und daher in Variationen der ausgedünnten Chips, so dass keine reale Endpunktfestlegung möglich ist.
  • In einem weiteren herkömmlichen Ansatz wird eine Ätzstoppschicht in den Halbleiterchips in der Zieltiefe implementiert, wobei ein Ätzstopp bei der Freilegung der Ätzstoppschicht aufgrund einer hohen Selektivität des Ätzprozesses für das Substrat über der Stoppschicht, d.h. aufgrund eines hohen Verhältnisses der Ätzraten des Substrats zur Stoppschicht, vorgesehen wird. Dieser Ansatz erfordert jedoch, dass die Ätzstoppschicht in den Halbleitersubstraten vorgesehen sein muss. Wenn beispielsweise ein SOI (Silicium-auf-Isolator)-Wafer verwendet wird, ist es erforderlich, eine vergrabene Siliciumdioxid (SiO2)-Schicht als Ätzstopp zum Plasmaausdünnen von Silicium-Chips vorzusehen, was die Freiheit der Ausbildung von Halbleiter-Layouts verringert.
  • Im Gegensatz zu derartigen herkömmlichen Ansätzen implementieren beispielhafte Ausführungsformen eine Indikatorschicht (oder beliebige andere physische Struktur, die als Indikatorschicht bezeichnet wird) in den Halbleiterchips, die ein detektierbares Signal bei der Freilegung durch den Substratätz- (wie Plasmaausdünnungs-) prozess liefert, das seinerseits zum Anpassen der Verarbeitung, insbesondere zur Endpunktfestlegung des Ätzprozesses, verwendet werden kann.
  • Beispielsweise können Elemente (wie Dotierungsmittel, Implantierungsmittel), die in der eingeschlossenen Indikatorschicht (welche aufgrund intrinsisch niedriger Ätzraten im Substratätzprozess nicht als Ätzstoppschicht dient) in der Zielätztiefe enthalten sind, freigesetzt und durch das Analysieren des Plasmas selbst (beispielsweise mit optischer Emissionsspektroskopie oder kohärenter Anti-Stokes-Raman-Streuung, d.h. CARS) und/oder des Abflussgases im Pumpenauslass (beispielsweise durch Massenspektroskopie oder optische Emissionsspektroskopie nach Erregung des Abflussgases in einer ICP (induktiv gekoppelten Plasma)-Zelle einer ICP-MS (induktiv gekoppelten Plasmamassenspektrometrie)-Vorrichtung detektiert werden.
  • Allgemeiner wird ein Verfahren zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, die in einem gemeinsamen Substrat miteinander verbunden sind, geoffenbart, wobei das Verfahren umfasst:
    • • wenigstens teilweises Entfernen einer Indikatorstruktur, die in wenigstens einem Teil der Mehrzahl von elektronischen Chips eingebettet ist;
    • • Detektieren von Informationen, welche die wenigstens teilweise Entfernung der Indikatorstruktur anzeigen; und
    • • Einstellen der Verarbeitung beim Detektieren der Informationen.
  • In einer Ausführungsform kann ein hochgenaues Ausdünnen von elektronischen Chips (insbesondere Halbleiterchips) in einem Gehäuse vorgenommen werden, wobei das Ausdünnen einer Mehrzahl von elektronischen Chips oder noch größerer Systeme gleichzeitig auf (insbesondere Form-) Wafer-Ebene durchgeführt werden kann, ohne dass eine Handhabung dünner Wafer erforderlich ist.
  • 1 zeigt einen Artikel 100 gemäß einer beispielhaften Ausführungsform, der gemäß einem Verfahren einer beispielhaften Ausführungsform verarbeitet wird, um so eine in 2 gezeigte Schichtsequenz 200 zu bilden.
  • Der Artikel 100 umfasst ein Halbleitersubstrat 102, wie einen Silicium-Wafer, worin eine Mehrzahl von elektronischen Chips 108 innerhalb des Halbleitersubstrats 102 miteinander verbunden ist. Die verschiedenen elektronischen Chips 108 sind Halbleiterchips, die weiterhin miteinander verbunden sind, so dass der Artikel 100 ein Artikel auf Wafer-Ebene ist, d.h. vor der Singularisierung in die einzelnen elektronischen Chips 108. Jeder der elektronischen Chips 108 kann eine oder mehrere Integrierte-Schaltungsbauteile, wie Transistoren, Dioden oder dgl., (nicht gezeigt) umfassen. Eine diskontinuierliche Indikatorschicht 110 ist innerhalb der elektronischen Chips 108 eingebettet und ist nur durch Sektionen einer Formstruktur 114 getrennt. Die Indikatorschicht 110 kann im Halbleitersubstrat 102 durch das Implantieren eines n-Typ-Dotierungsmittels oder p-Typ-Dotierungsmittels gebildet werden und wird durch ein Material gebildet, das durch Plasmaätzen entfernt werden kann, so dass sie zum Detektieren eines Sensorsignals verwendet werden kann, das zur Definition eines geeigneten Endpunkts eines Ätzvorgangs dient. Eingebettet oder eingeschlossen innerhalb der elektronischen Chips 108 ist daher die Indikatorschicht 110, die beispielsweise eine signifikant andere Masse und/oder signifikant andere optische Eigenschaften aufweisen kann als das umgebende Material der elektronischen Chips 108. Dies stellt sicher, dass ein ausgeprägtes und unterscheidbares Sensorsignal detektiert werden kann, das der Indikatorschicht 110 klar zugeordnet werden kann.
  • Wie 1 zu entnehmen ist, werden die elektronischen Chips 108 alle von einer Flächenschutzschicht 104 bedeckt. Zwischen der Flächenschutzschicht 104 und den elektronischen Chips 108 können eine oder mehrere Zwischenschichten 106 gebildet sein. Die durch die Bezugszahl 106 angezeigte Linie zwischen der Flächenschutzschicht 104 und dem Form-Wafer oder dem eingebetteten Wafer-Ebenen-Gehäuse (eWLP), das durch die Bezugszahlen 102, 108, 110, 114 gebildet wird, zeigt, dass ein oder mehrere weitere Prozesse (wie beispielsweise eine Umverteilung, Metallisierung, Isolierung) früher im Prozessfluss auf Form-Wafer-Ebene (nach der Chipeinbettung in der Formstruktur 114) vorgenommen worden sein können.
  • Um die in 2 gezeigte Schichtsequenz 200 auf der Basis des Artikels 100 zu bilden, wird ein Plasmaätzvorgang durchgeführt. Bei der Ausführung dieses Ätzvorgangs greift ein Plasmaätzmittel eine obere Fläche des Artikels 100 an und entfernt daher Material des Halbleitersubstrats 102. Die Position der Ätzstopp-Indikatorschicht 110 bezeichnet eine Position, an der gewünscht wird, dass der Ätzvorgang stoppt. Die Tiefe innerhalb des Halbleitersubstrats 102, in der die Indikatorschicht 110 gebildet wird, kann entsprechend ausgewählt werden. 2 zeigt ein Szenario, in dem der Plasmaätzvorgang in ein derartiges Stadium fortgeschritten ist, dass die Ätzstopp-Indikatorschicht 110 nun an einer oberen Fläche der Schichtsequenz 200 freiliegt. Wenn der Ätzvorgang weiter fortgesetzt wird, beginnend von der in 2 gezeigten Schichtsequenz 200, startet die durch das Plasmaätzen induzierte Entfernung der Ätzstopp-Indikatorschicht 110, weil sie aus einem Material besteht, das auch vom Plasmaätzvorgang entfernt werden kann. Durch das Umwandeln der Ätzstopp-Indikatorschicht 110 in einen flüchtigen Stoff (wie Gas oder Plasma) als Ergebnis des Plasmaätzens wird es jedoch möglich, diesen flüchtigen Stoff durch eine Detektionseinheit oder einen Sensor 250 zu detektieren. Da die chemische Zusammensetzung der Ätzstopp-Indikatorschicht 110 von jener des umgebenden Materials des Halbleitersubstrats 102 verschieden ist, ermöglicht die Detektion des flüchtigen Stoffs rund um den Artikel 200 zu detektieren, wann die Entfernung der Ätzstopp-Indikatorschicht 110 startet. Das Ereignis einer solchen Detektion von Plasmaätzprodukten, die aus dem Plasmaätzen der Ätzstopp-Indikatorschicht 110 erhalten werden, kann als Auslöser zum Stoppen des Plasmaätzvorgangs herangezogen werden.
  • Daher zeigen 1 und 2 einen Form-Wafer 102, 108, 110, 114 mit einer zusätzlichen Ätzstopp-Indikatorschicht 110, welche in den elektronischen Chips 108 eingeschlossen ist, um in der Zieltiefe ausgedünnt zu werden, wobei ein Endpunktsignal für den Ätzprozess vorgesehen wird, wenn sie während des Plasmaausdünnungsprozesses freigelegt (und anschließend wenigstens teilweise entfernt) wird. 1 zeigt den Status vor dem Ausdünnen in dem Gehäuse. 2 zeigt den Status nach dem Ausdünnen in dem Gehäuse (mit Selektivität für die Form). Der Ätzstopp des Plasmaausdünnungsprozesses wird durch ein Endpunktsignal ausgelöst, das bei der Freilegung der implementierten Ätzstopp-Indikatorschicht 110 durch das Freisetzen und Detektieren von Material davon erhalten wird. Da die Ausdünnung des Halbleitersubstrats 102 und auch der elektronischen Chips 108 nach dem Einhausen in die Formstruktur 114 und auf Wafer-Ebene (d.h. vor der Singularisierung der einzelnen elektronischen Chips 108) vorgenommen wird, kann eine Handhabung einzelner ausgedünnter und daher empfindlicher elektronischer Chips 108 vorteilhaft verhindert werden. Die Verwendung einer vergrabenen Ätzstopp-Indikatorschicht 110 gestattet ferner, die Dicke der fertigen elektronischen Chips 108 mit einer hohen Genauigkeit zu definieren.
  • Im Gegensatz dazu veranschaulichten 3 und 4 einen herkömmlichen Ansatz zum Steuern und letztlichen Stoppen eines Ätzvorgangs. Wie 3 zu entnehmen ist, ist eine Mehrzahl von elektronischen Chips 306 an der Oberseite einer Schutzstruktur 302, 304 aufgenommen, wobei die einzelnen elektronischen Chips 306 durch Sektionen einer Formstruktur 308 getrennt sind. Wenn der Ätzvorgang startet, ausgehend vom in 3 gezeigten Artikel 300, wird Material der elektronischen Chips 306 entfernt. Der Ätzvorgang wird nach einer vordefinierten Zeit gestoppt, zu der erwartet wird, dass das Ausdünnen das gewünschte Tiefenniveau erreicht hat, vergleiche 4. Wenn jedoch irgendeine Inhomogenität des Materials unter den verschiedenen elektronischen Chips 306 besteht, ist das Stoppen des Ätzvorgangs ungenau.
  • Daher zeigt 3 einen Form-Wafer 306, 308 ohne zusätzliche in den elektronischen Chips 306 eingeschlossene Schichten zur Endpunktfestlegung. Der in 3 gezeigte Status ist vor dem Ausdünnen in dem Gehäuse. Der in 4 gezeigte Status ist nach dem Ausdünnen in dem Gehäuse, was mit einem zeitlich gesteuerten Plasmaätzen (mit Selektivität für die Formstruktur 308) durchgeführt wird.
  • Ähnliche Probleme treten bei einem weiteren herkömmlichen Ansatz auf, wenn eine Ätzstoppschicht (nicht gezeigt) innerhalb der elektronischen Chips 306 eingebettet ist und nicht-ätzbar ist, da es in einen solchen Szenario kein positives Signal gibt, dass ein Ätzvorgang nun gestoppt werden sollte.
  • 5 veranschaulicht eine Vorrichtung 500 zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips auf Wafer-Ebene, wie des in 1 detailliert und in 5 schematisch gezeigten Artikels 100.
  • Die Vorrichtung 500 umfasst eine Ätzkammer 520. Innerhalb der Ätzkammer 520 ist der Artikel 100 an einer Elektrode 512 montiert. Ein Hochfrequenzsignal kann an diese Elektrode 512 angelegt werden, wie durch eine Hochfrequenzgeneratoreinheit 514 angezeigt. Ein Kopplungskondensator 510 kann zwischen der Elektrode 512 und der Hochfrequenzgeneratoreinheit 514 angeordnet sein. Über Ventile 522 an der Oberseite der Ätzkammer 520 kann Gas in ein Ätzvolumen 526 eingebracht werden, das von der Ätzkammer 520 begrenzt wird. Der Ätzprozess wird durch eine Plasmaätzeinheit 508 gesteuert, die ein derartiges Gas als Basis für ein anschließendes Plasmaätzen liefert. Eine Pumpe 530 saugt Abflussgas durch eine Auslassleitung 532 aus der Vorrichtung 500.
  • Wenn der Plasmaätzvorgang startet, wobei Plasma, das durch das eingebrachte Gas und durch die elektrischen Bedingungen innerhalb der Ätzkammer 520 generiert wird, wie durch die Hochfrequenzgeneratoreinheit 514 definiert, Energie an die Wafer-Montageelektrode 512 liefert, wird Material der elektronischen Chips 108 des Artikels 100 entfernt. Dies modifiziert den flüchtigen Stoff, d.h. die Gas- und Plasmamischung, innerhalb der Ätzkammer 520. Wenn jedoch eine derartige Materialmenge vom Artikel 100 entfernt wurde, dass die Ätzstopp-Indikatorschicht 110 bis auf eine Fläche freigelegt wird, beginnt Material dieser Ätzstopp-Indikatorschicht 110, entfernt zu werden, und ändert charakteristisch die Zusammensetzung des flüchtigen Stoffs innerhalb der Ätzkammer 520. Ein erster Sensor oder eine Detektionseinheit 504 detektiert die Charakteristiken des Plasmas innerhalb der Plasmakammer 520 und wird ein modifiziertes Detektionssignal liefern, wenn die Entfernung der Ätzstopp-Indikatorschicht 110 startet. Dies kann es dieser ersten Detektionseinheit 504 ermöglichen, ein Auslösesignal an eine Steuereinheit 502 (wie eine Zentralverarbeitungseinheit, CPU oder einen Mikroprozessor) zu senden, um so die Plasmaätzeinheit 508 zu steuern, abgeschaltet zu werden, und die Hochfrequenzgeneratoreinheit 514 zu steuern, ausgeschaltet zu werden, um dadurch den Ätzvorgang zu stoppen.
  • Zusätzlich (zur Verbesserung der Genauigkeit angesichts der resulterenden Redundanz) oder alternativ dazu (um den Detektionsvorgang so einfach wie möglich zu halten) ist eine zweite Detektionseinheit 506 gezeigt, die in der Auslassleitung 532 der Vorrichtung 500 zum Detektieren von Charakteristiken des Abflussgases angeordnet ist. Wiederum werden die Charakteristiken des Abflussgases charakteristisch geändert, wenn die Entfernung der Ätzstopp-Indikatorschicht 110 begonnen hat. Daher kann auch die zweite Detektionseinheit 506 ein Detektionssignal an die Steuereinheit 502 senden. Beim Erhalten entsprechender Detektionssignale von beiden Detektionseinheiten 504, 506 kann die Steuereinheit 502 die Plasmaätzeinheit 508 steuern, den Ätzvorgang zu beenden, und kann die Hochfrequenzgeneratoreinheit 514 steuern, das Liefern von Energie an die Wafer-Montageelektrode 512 zu stoppen. Alternativ dazu kann die Beendigung des Ätzvorgangs bereits von der Steuereinheit 502 ausgelöst werden, wenn nur eines der beiden Detektionssignale der Detektionseinheiten 504, 506 einen Start der Entfernung der Ätzstopp-Indikatorschicht 110 anzeigt.
  • 6 zeigt ein Blockbild 600 eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips 108 auf einer Wafer-Ebene gemäß einer beispielhaften Ausführungsform.
  • In einem Block 602 ist der Halbleiter-Wafer 102 mit den elektronischen Chips 108 auf Wafer-Ebene gehäust, indem der Halbleiter-Wafer 102 mit einer Formstruktur 114 verbunden wird. In einem nachfolgenden Block 604 startet das Plasmaätzen der elektronischen Chips 108 auf Wafer-Ebene. In einem nachfolgenden Block 606 wird ein flüchtiger Stoff in einer Umgebung der plasmageätzten elektronischen Chips 108 detektiert und analysiert, um dadurch Informationen abzuleiten, welche eine Freilegung der Ätzstopp-Indikatorschicht 110 anzeigen, die innerhalb der elektronischen Chips 108 eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Ätzstopp-Indikatorschicht 110 entfernt hat. In einem nachfolgenden Block 608 wird der Plasmaätzvorgang beim Detektieren der Freilegung der Ätzstopp-Indikatorschicht 110 gestoppt. In einem nachfolgenden Block 610 wird der verarbeitete Halbleiter-Wafer 102, der durch die Formstruktur 114 gehäust wird, in einzeln gehäuste elektronische Chips 108 singularisiert.
  • 7 bis 11 zeigen unterschiedliche Strukturen, welche während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von einzelnen elektronischen Chips 108, die innerhalb eines gemeinsamen Formsubstrats oder einer Formstruktur 114 gehäust sind, gemäß einer beispielhaften Ausführungsform erhalten werden.
  • 7 zeigt einen Schnitt einer plattenförmigen Formstruktur 114 mit einer Mehrzahl von Vertiefungen, die in einem Matrixmuster angeordnet sind, d.h. in Reihen und Spalten. Jede der Vertiefungen nimmt einen entsprechenden einen von einer Mehrzahl von elektronischen Chips 108 auf. Jeder der elektronischen Chips 108 hat eine innerhalb eines Halbleitersubstrats 102, beispielsweise eines Stücks Silicium, gebildete Ätzstoppschicht 110. Eine oder mehrere Integrierte-Schaltungsbauteile 800 (wie Transistoren, Dioden, etc.) sind in einem Flächenabschnitt des jeweiligen Halbleitersubstrats 102 gebildet.
  • Um die in 7 gezeigte Struktur zu erhalten, werden die einzelnen elektronischen Chips 108 in einem Matrixmuster auf einem Haftband 700 (beispielsweise einem doppelseitigen Klebeband) haftend aufgebracht. Ein temporärer fester Träger 702 kann unter dem Haftband 700 angeordnet werden, um die Stabilität zu erhöhen. Danach wird diese Anordnung von Formmaterial in flüssiger oder Granulatform bedeckt, das anschließend gehärtet wird, wodurch die elektronischen Chips 108 in der Formstruktur 114 eingekapselt werden. Das Ergebnis ist ein Artikel 950 gemäß einer beispielhaften Ausführungsform.
  • Das Haftband 700 und der temporäre feste Träger 702 (der aus einem Metall, wie Kupfer, Kunststoff, Polymer oder einem Halbleiter, wie Silicium, etc., bestehen kann) bilden ein temporäres Trägersystem. Als Alternative zur Verwendung des Haftbands 700 und temporären festen Trägers 702 ist es auch möglich, ein temporäres Trägersystem zu verwenden, das durch einen UV-Klebstoff auf einem temporären Glasträger zusammengesetzt wird.
  • 8 zeigt die Anordnung von 7 nach einem sogenannten Entbonden, d.h. nach der Entfernung des temporären festen Trägers 702 und nach der Entfernung oder Delaminierung des Haftbands 700 vom Rest der Anordnung von 7. Dann kann eine gemeinsame dünne Filmschicht 900 (wie eine Metallisierungsschicht) auf der Fläche der Formstruktur 114 und den elektronischen Chips 108 aufgebracht werden. Eine entsprechende Dünnfilmstruktur kann die Abscheidung und das Mustern einer oder mehrerer dielektrischer Schichten, die Abscheidung und das Mustern einer oder mehrerer elektrisch leitfähiger Schichten (wie einer metallischen Umverteilungsschicht) und die Bildung von Lötkugeln oder dgl. umfassen, wie Fachleuten auf dem Gebiet von eWLP bekannt ist.
  • Obwohl in den Figuren nicht gezeigt, wird die Struktur von 8 dann einem Schleifvorgang zum Entfernen von Material der Formstruktur 114 über den elektronischen Chips 108 unterworfen. Am Ende des Schleifvorgangs sind die oberen Flächen der elektronischen Chips 108 freigelegt, wie 9 entnommen werden kann.
  • Anschließend, wie schematisch in 9 durch Pfeile 902 gezeigt, wird die gesamte Struktur einem Plasmaätzvorgang unterworfen, in dem das Plasma Halbleitermaterial an der oberen Seite der Struktur von 9 angreift und entfernt, um ein Ausdünnen der elektronischen Chips 108 von der Rückseite vorzunehmen. Dadurch werden die elektronischen Chips 108 durch Plasmaätzen ausgedünnt, während die Formstruktur 114 als Ätzmaske dient und dadurch vom Ätzen unbeeinträchtigt bleibt.
  • Zu einer bestimmten Zeit während des Ätzvorgangs werden die Ätzstopp-Indikatorschichten 110, die auf demselben Höhenniveau innerhalb jedes der elektronischen Chips 108 gebildet sind, freigelegt. Bei der Freilegung der Ätzstopp-Indikatorschicht 110 wird Material der Letzteren von der Festphase in eine flüchtige Phase übergeführt, wobei der generierte flüchtige Stoff mit der Bezugszahl 1000 bezeichnet ist. Dies ist in 10 gezeigt.
  • Dann kann der flüchtige Stoff 1000 beispielsweise in einer Weise detektiert werden, wie oben mit Bezugnahme auf 5 beschrieben ist. Die Detektion des flüchtigen Stoffs 1000, der von der Ätzstopp-Indikatorschicht 110 stammt, kann dann der Auslöser sein, um den Plasmaätzvorgang zu stoppen. Wie in 10 durch Trennlinien 1002 angezeigt, kann die in 10 gezeigte Struktur dann beispielsweise durch Sägen in eine Mehrzahl von singulären, einzelnen elektronischen Chips 108 getrennt werden.
  • Ein erhaltender elektronischer Chip 108 gemäß einer beispielhaften Ausführungsform ist in 11 gezeigt. Dieser elektronische Chip 108 weist bereits eine Metallisierung, etc., die durch einen Teil der dünnen Filmschicht 900 gebildet wird, sowie ein durch einen Teil der Formstruktur 114 gebildetes Gehäuse auf.
  • Daher kann ein Ausdünnen des Halbleitermaterials vor der Singularisierung vorgenommen werden, wodurch die Handhabung ausgedünnter elektronischer Chip 108 vor dem Einhausen verhindert wird, während gleichzeitig eine präzise Definition der Dicke der elektronischen Chips 108 sichergestellt wird, da der Ätzvorgang bei der Freilegung der Ätzstopp-Indikatorschicht 110 genau beendet werden kann.
  • 12 bis 14 zeigen unterschiedliche Strukturen, die während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips 108 auf Wafer-Ebene gemäß einer beispielhaften Ausführungsform erhalten werden.
  • 12 zeigt einen Artikel 950 gemäß einer beispielhaften Ausführungsform, der einen Halbleiter-Wafer 102 mit einer vergrabenen kontinuierlichen (d.h. nicht unterbrochenen) Ätzstopp-Indikatorschicht 110 innerhalb des Bulk-Halbleiters aufweist. In dieser Ausführungsform sind die zu bildenden elektronischen Chips 108 Sektionen des plattenförmigen Halbleiter-Wafers 102. Eine untere Fläche des Halbleitersubstrats oder -Wafers 102 wurde verarbeitet, um Integrierte-Schaltungsbauteile 800 in einem entsprechenden Flächenabschnitt zu bilden. Danach kann eine dünne Filmschicht 900 an der Oberseite der Integrierte-Schaltungsbauteile 800 gebildet werden. Anschließend kann der gesamte Halbleiter-Wafer 102 von einer Rückseite ausgedünnt werden, indem ein Plasmaätzvorgang auf Wafer-Ebene ausgeführt wird, wie durch die Bezugszahl 902 angezeigt.
  • Da das mit Bezugnahme auf 12 bis 14 beschriebene Verfahren an einem nicht-gehäusten Wafer 102, d.h. einem Wafer 102 ohne Formstruktur, vorgenommen wird, kann es vorteilhaft sein, den Wafer 102 während des folgenden Ausdünnungsvorgangs mechanisch zu stützen oder zu stabilisieren. 12 zeigt, dass der Wafer 102 von einem temporären Handling-Wafer 1200 (wie einem Glasträger) gestützt wird, auf dem der Wafer 102 montiert ist, und der bei der Fertigstellung der Verarbeitung davon vom Wafer 102 zu entfernen ist. Obwohl in 12 bis 14 nicht gezeigt, kann eine Zwischenschicht, wie ein doppelseitiges Haftband 700 (in 7 gezeigt), zwischen dem Wafer 102 und dem temporären Handling-Wafer 1200 angeordnet sein.
  • Als Alternative zu einem temporären Handling-Wafer 1200 ist es auch möglich, den Wafer 102 durch eine permanente Trägerstruktur zu stützen, die ein Teil des fertigen Produkts bleibt und am Ende nicht entfernt wird. Beispielsweise kann die dünne Filmschicht 900 mit einer derartig großen Dicke gebildet werden (kann beispielsweise als Kupferschicht mit einer Dicke zwischen 50 µm und 100 µm gebildet werden), dass die dünne Filmschicht 900, die in einem Teil mit dem Wafer 102 gebildet ist, eine ausreichende Stütze zum Handhaben des ausgedünnten Wafers 102 ohne die Gefahr einer Verschlechterung oder sogar Zerstörung desselben vorsieht.
  • Eine dritte Alternative zur mechanischen Stabilisierung des Wafers 102 während des Ausdünnungsvorgangs ohne das Risiko, ihn zu beschädigen, ist, den Wafer 102 durch Lithografie oder dgl. zu behandeln, um so lokal verdickte Trägerabschnitte zwischen dünneren Abschnitten, beispielsweise des Halbleitermaterials 102, zu bilden. Beim Vornehmen des anschließenden Ausdünnungsvorgangs bleiben die dickeren Trägerabschnitte ausreichend dick, um die dünneren Abschnitte ausreichend zu stabilisieren.
  • 13 zeigt die Struktur, die durch das Plasmaätzen erhalten wird, wenn die Ätzstopp-Indikatorschicht 110 freigelegt ist. Dies führt zur Generierung eines modifizierten flüchtigen Stoffs 1000, wie oben detaillierter beschrieben. In der vorliegenden Ausführungsform ist die Detektion des flüchtigen Stoffs 1000 ein Fingerabdruck der Freilegung der Ätzstopp-Indikatorschicht 110, ist jedoch noch nicht der Auslöser für die Beendigung des Ätzvorgangs.
  • Im Gegensatz dazu in wird beim Detektieren des flüchtigen Stoffs 1000 eine zusätzliche vordefinierte Ätzzeit hinzugefügt, wie schematisch durch die Bezugszahl 1300 angezeigt. Diese zusätzliche vordefinierte Ätzzeit kann beispielsweise so ausgewählt werden, dass, nach ihrem Ablauf, die gesamte Ätzstopp-Indikatorschicht 110 entfernt ist, was der in 14 gezeigten Struktur entspricht. Die resultierende Struktur kann dann an Trennlinien 1002 getrennt werden, um einzelne elektronische Chip 108 zu erhalten.
  • 15 bis 18 zeigen unterschiedliche Strukturen, die während der Durchführung eines Verfahrens zur Verarbeitung einer Mehrzahl von elektronischen Chips 108 auf Wafer Ebene, gehäust innerhalb eines Formsubstrats 114, gemäß einer beispielhaften Ausführungsform erhalten werden.
  • In 15 ist eine plattenförmige Formstruktur 114 gezeigt, die als Einkapselung über einem verarbeiteten Wafer 102 gebildet wurde. Zu diesem Zweck kann ein flüssiges oder Granulatformmaterial rund um den Wafer 102 geformt und kann anschließend gehärtet werden. Eine Vertiefung innerhalb der Formstruktur 114 nimmt den kompletten Halbleiter-Wafer 102 auf (umfassend die dünne Filmschicht 900 und Integrierte-Schaltungsbauteile 800), der dieselben Eigenschaften aufweisen kann wie oben mit Bezugnahme auf 12 beschrieben. Der Halbleiter-Wafer 1200 wird in die Formstruktur 114 so eingesetzt, dass die Integrierte-Schaltungsbauteile 800 in einem Inneren der erhaltenen Struktur angeordnet sind, und dass ein nicht-verarbeiteter Teil des Halbleitersubstrats 102 des Wafers 1200 an einer Außenfläche freiliegt.
  • Wie 16 zu entnehmen ist, ein erhaltener Artikel 950 gemäß einer beispielhaften Ausführungsform, der dann einer Plasmaätzbehandlung unterworfen wird, siehe Bezugszahl 902, wodurch Halbleitermaterial an der nicht-verarbeiteten Außenfläche des Halbleiter-Wafers 102 entfernt wird, während Material der Formstruktur 114 als Ätzmaske dient und daher vom Ätzvorgang unbeeinträchtigt bleibt.
  • Es ist anzumerken, dass das Plasmaätzen nicht unbedingt zum Ätzen des gesamten Halbleitermaterials verwendet werden muss. Beispielsweise ist es alternativ dazu möglich, dass ein erster Abschnitt des Halbleitermaterials durch einen mechanischen Ausdünnungsvorgang, wie Polieren oder Schleifen, entfernt wird, und nur ein tieferer zweiter Abschnitt des Halbleitermaterials durch Plasmaätzen entfernt wird. Allgemeiner ist eine beliebige gewünschte Kombination unterschiedlicher mechanischer und/oder chemischer Materialentfernungsvorgänge möglich, wie eine beliebige Kombination von Schleifen, Spin-Ätzen, chemisch-mechanisches Polieren (CMP), Nassätzen, Plasmaätzen, etc.
  • Wiederum wird die Freilegung der Ätzstopp-Indikatorschicht 110 detektiert und dient als Auslöser für den Abschluss des Ätzvorgangs, vergleiche 17. Wenn der Ätzvorgang abgeschlossen ist, kann die erhaltene Struktur in einzelne elektronische Chips 108 an Trennlinien 1002 getrennt werden.
  • Wie 18 zu entnehmen ist, wird eine stabilisierende Unterseite der erhaltenen elektronischen Chips 108 durch einen zurückbleibenden Abschnitt der Formstruktur 114 gebildet, und sie umfassen bereits eine Metallisierung als Teil der dünnen Filmschicht 900. Ein zusätzlicher optionaler Einhausungsvorgang (nicht gezeigt) kann jedoch mit den erhaltenen elektronischen Chips 108 vorgenommen werden, um sie mit Formmaterial einzukapseln.
  • Es ist zu beachten, dass der Ausdruck „umfassend“ andere Elemente oder Merkmale nicht ausschließt, und dass „ein“ oder „eine“ eine Mehrzahl nicht ausschließt. Es können auch Elemente kombiniert werden, die in Assoziation mit unterschiedlichen Ausführungsformen beschrieben sind. Es ist auch zu beachten, dass die Bezugszeichen nicht als Einschränkungen des Umfangs der Ansprüche anzusehen sind. Außerdem soll der Umfang der vorliegenden Anmeldung nicht auf die bestimmten Ausführungsformen des Prozesses, der Maschine, Herstellung, Stoffzusammensetzung, Mittel, Verfahren und Schritte begrenzt sein. Demgemäß sollen die beigeschlossenen Ansprüche in ihrem Umfang solche Prozesse, Maschinen, Herstellungen, Stoffzusammensetzungen, Mittel, Verfahren oder Schritte einschließen.

Claims (20)

  1. Verfahren zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips (108, 306), die in einem gemeinsamen Substrat (102) miteinander verbunden sind, wobei das Verfahren umfasst: • Ätzen der elektronischen Chips (108, 306); • Detektieren von Informationen, welche eine wenigstens teilweise Entfernung einer Indikatorstruktur (110) nach einer Freilegung der Indikatorstruktur (110) anzeigen, die innerhalb wenigstens eines Teils der elektronischen Chips (108, 306) eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Indikatorstruktur (110) entfernt hat; und • Einstellen der Verarbeitung bei Detektieren der Informationen, welche die wenigstens teilweise Entfernung der Indikatorstruktur (110) anzeigen, wobei das Detektieren von Informationen ein Analysieren eines flüchtigen Stoffs (1000) in einer Umgebung der elektronischen Chips (108, 306) umfasst, wobei der flüchtige Stoff (1000) von einem Ätzprodukt beeinflusst wird, das durch die Entfernung von Material der Indikatorstruktur (110) aus den elektronischen Chips (108, 306) durch das Ätzen generiert wird.
  2. Verfahren nach Anspruch 1, bei welchem der analysierte flüchtige Stoff (1000) Plasma ist, das zum Plasmaätzen verwendet wird und durch die wenigstens teilweise Entfernung der Indikatorstruktur (110) modifiziert wird.
  3. Verfahren nach Anspruch 2, bei welchem das Analysieren des Plasmas durch wenigstens eine von der Gruppe bestehend aus optischer Emissionsspektroskopie und kohärenter Anti-Stokes-Raman-Streuung vorgenommen wird.
  4. Verfahren nach Anspruch 1, bei welchem der analysierte flüchtige Stoff (1000) Abflussgas ist, das generiert wird, wenn Material der Indikatorstruktur (110) durch Plasmaätzen entfernt wird.
  5. Verfahren nach Anspruch 4, bei welchem das Analysieren des Abflussgases durch wenigstens eine von der Gruppe bestehend aus optischer Emissionsspektroskopie und Massenspektroskopie vorgenommen wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, bei welchem die Indikatorstruktur (110) eines von der Gruppe bestehend aus Dotierungsmittel, implantiertem Material und einer abgeschiedenen Schicht umfasst.
  7. Verfahren nach einem der Ansprüche 1 bis 6, bei welchem das Ätzen ein selektives Ätzen ist, so dass eine Ätzrate von Material der Indikatorstruktur (110) von einer Ätzrate von Material angrenzend an die Indikatorstruktur (110) verschieden ist.
  8. Verfahren nach einem der Ansprüche 1 bis 7, bei welchem die Mehrzahl von gehäusten elektronischen Chips (108, 306), die im gemeinsamen Substrat (102) miteinander verbunden sind, einen Halbleiter-Wafer (102) umfasst, der in die elektronischen Chips (108, 306) durch Formstrukturen (114, 308) als Gehäuse aufgeteilt ist.
  9. Verfahren nach einem der Ansprüche 1 bis 8, bei welchem das Einstellen der Verarbeitung wenigstens eines von der Gruppe bestehend aus Stoppen des Ätzens, Modifizieren einer Ätzrate, Modifizieren eines Ätzparameters, Modifizieren des Ätzprozesses und Fortsetzen des Ätzens mit denselben Ätzbedingungen oder mit modifizierten Ätzbedingungen für ein vordefiniertes zusätzliches Ätzzeitintervall umfasst.
  10. Verfahren nach einem der Ansprüche 1 bis 9, bei welchem die Indikatorstruktur (110) eine kontinuierliche oder diskontinuierliche Indikatorschicht (110) ist, die in einem konstanten Tiefenniveau innerhalb aller elektronischen Chips (108, 306) eingebettet ist.
  11. Verfahren nach einem der Ansprüche 1 bis 10, umfassend: Stoppen des Ätzens; anschließendes Singularisieren der elektronischen Chips (108, 306).
  12. Vorrichtung (500) zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips (108, 306), die in einem gemeinsamen Substrat (102) miteinander verbunden sind, wobei die Vorrichtung (500) umfasst: • Ätzmittel, die für das Ätzen der elektronischen Chips (108, 306) ausgelegt sind; • Detektionsmittel (504, 506), die für das Detektieren von Informationen ausgelegt sind, welche eine wenigstens teilweise Entfernung einer Indikatorstruktur (110) nach der Freilegung der Indikatorstruktur (110) anzeigen, die innerhalb wenigstens eines Teils der elektronischen Chips (108, 306) eingebettet ist und freigelegt wird, nachdem das Ätzen Chipmaterial über der Indikatorstruktur (110) entfernt hat; und • Steuermittel (502), denen die detektierten Informationen zugeführt werden und die für das Einstellen der Verarbeitung bei Detektieren der Informationen ausgelegt sind, welche die wenigstens teilweise Entfernung der Indikatorstruktur (110) anzeigen, wobei die Detektionsmittel (504, 506) für das Detektieren der Informationen durch das Analysieren eines flüchtigen Stoffs (1000) in einer Umgebung der elektronischen Chips (108, 306) ausgelegt sind, wobei der flüchtige Stoff (1000) durch ein Ätzprodukt beeinflusst wird, das durch das Entfernen von Material der Indikatorstruktur (110) aus den elektronischen Chips (108, 306) durch Ätzen generiert wird.
  13. Vorrichtung (500) nach Anspruch 12, bei welcher der analysierte flüchtige Stoff (1000) Plasma ist, das zum Plasmaätzen verwendet wird und durch die wenigstens teilweise Entfernung der Indikatorstruktur (110) modifiziert wird.
  14. Vorrichtung (500) nach Anspruch 12, bei welcher der analysierte flüchtige Stoff (1000) Abflussgas ist, das generiert wird, wenn Material der Indikatorstruktur (110) durch Plasmaätzen entfernt wird.
  15. Artikel (100, 200, 300, 950), umfassend: • ein Substrat (102); • eine Mehrzahl von elektronischen Chips (108, 306), die innerhalb des Substrats (102) miteinander verbunden sind; • eine Indikatorschicht (110), die innerhalb der elektronischen Chips (108, 306) eingebettet ist; • wobei die Indikatorschicht (110) ausgelegt ist, wenigstens teilweise aus den elektronischen Chips (108, 306) durch Plasmaätzen entfernbar zu sein, so dass ihr Plasmaätzprodukt einen flüchtigen Stoff (1000) in einer Umgebung der elektronischen Chips (108, 306) beeinflusst, so dass die Freilegung der Indikatorschicht (110) durch das Analysieren des flüchtigen Stoffs (1000) detektierbar ist.
  16. Artikel (100, 200, 300, 950) nach Anspruch 15, umfassend eine Formstruktur (114, 308), durch welche die Mehrzahl von elektronischen Chips (108, 306) gehäust wird.
  17. Artikel (100, 200, 300, 950) nach Anspruch 15 oder 16, bei welchem das Substrat (102) einen plattenförmigen Wafer (102) umfasst, der die Mehrzahl von elektronischen Chips (108, 306) als Sektionen des Wafers (102) umfasst.
  18. Artikel (100, 200, 300, 950) nach Anspruch 15 oder 16, bei welchem das Substrat (102) eine plattenförmige Formstruktur (114, 308) ist, die eine Mehrzahl von Vertiefungen umfasst, welche jeweils einen jeweiligen einen der Mehrzahl von elektronischen Chips (108, 306) aufnehmen.
  19. Artikel (100, 200, 300, 950) nach Anspruch 15 oder 16, bei welchem das Substrat (102) eine plattenförmige Formstruktur (114, 308) ist, die eine Vertiefung umfasst, welche einen Wafer (102) aufnimmt, der die Mehrzahl von elektronischen Chips (108, 306) als Sektionen des Wafers (102) umfasst.
  20. Elektronischer Chip (108, 306), umfassend: • ein Halbleitersubstrat (102); • wenigstens eine integrierte Schaltkreiskomponente, die im Halbleitersubstrat (102) integriert ist; • eine Indikatorschicht (110), die einen Außenflächenabschnitt des Halbleitersubstrats (102) bildet, wobei die Indikatorschicht (110) ausgelegt ist, wenigstens teilweise durch Plasmaätzen entfernbar zu sein, so dass ihr Plasmaätzprodukt einen flüchtigen Stoff (1000) in einer Umgebung des Halbleitersubstrats (102) beeinflusst, so dass die Freilegung der Indikatorschicht (110) durch das Analysieren des flüchtigen Stoffs (1000) detektierbar ist; • eine Formstruktur(114, 308), die wenigstens einen Teil des Halbleitersubstrats (102) bedeckt.
DE102014106132.2A 2013-05-03 2014-04-30 Verfahren und Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, elektronischer Chip, umfassend eine Indikatorschicht, und Artikel, umfassend eine Mehrzahl derartiger elektronischer Chips Active DE102014106132B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/886,283 US9275916B2 (en) 2013-05-03 2013-05-03 Removable indicator structure in electronic chips of a common substrate for process adjustment
US13/886,283 2013-05-03

Publications (2)

Publication Number Publication Date
DE102014106132A1 DE102014106132A1 (de) 2014-11-06
DE102014106132B4 true DE102014106132B4 (de) 2020-06-04

Family

ID=51727582

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014106132.2A Active DE102014106132B4 (de) 2013-05-03 2014-04-30 Verfahren und Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, elektronischer Chip, umfassend eine Indikatorschicht, und Artikel, umfassend eine Mehrzahl derartiger elektronischer Chips

Country Status (3)

Country Link
US (1) US9275916B2 (de)
CN (1) CN104134607B (de)
DE (1) DE102014106132B4 (de)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9812350B2 (en) 2013-03-06 2017-11-07 Qorvo Us, Inc. Method of manufacture for a silicon-on-plastic semiconductor device with interfacial adhesion layer
US9583414B2 (en) 2013-10-31 2017-02-28 Qorvo Us, Inc. Silicon-on-plastic semiconductor device and method of making the same
US9627287B2 (en) * 2013-10-18 2017-04-18 Infineon Technologies Ag Thinning in package using separation structure as stop
US10085352B2 (en) 2014-10-01 2018-09-25 Qorvo Us, Inc. Method for manufacturing an integrated circuit package
US9530709B2 (en) 2014-11-03 2016-12-27 Qorvo Us, Inc. Methods of manufacturing a printed circuit module having a semiconductor device with a protective layer in place of a low-resistivity handle layer
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9960145B2 (en) 2015-03-25 2018-05-01 Qorvo Us, Inc. Flip chip module with enhanced properties
US20160343604A1 (en) 2015-05-22 2016-11-24 Rf Micro Devices, Inc. Substrate structure with embedded layer for post-processing silicon handle elimination
EP3113215A1 (de) * 2015-06-30 2017-01-04 IMEC vzw Verfahren und vorrichtung zur inspektion eines halbleiterbauelements
US10276495B2 (en) 2015-09-11 2019-04-30 Qorvo Us, Inc. Backside semiconductor die trimming
US10147645B2 (en) * 2015-09-22 2018-12-04 Nxp Usa, Inc. Wafer level chip scale package with encapsulant
DE102015120755A1 (de) * 2015-11-30 2017-06-01 Infineon Technologies Ag Verfahren zum Vereinzeln von einer Vielzahl von Chips
US10020405B2 (en) 2016-01-19 2018-07-10 Qorvo Us, Inc. Microelectronics package with integrated sensors
US10090262B2 (en) 2016-05-09 2018-10-02 Qorvo Us, Inc. Microelectronics package with inductive element and magnetically enhanced mold compound component
US10773952B2 (en) 2016-05-20 2020-09-15 Qorvo Us, Inc. Wafer-level package with enhanced performance
US10784149B2 (en) 2016-05-20 2020-09-22 Qorvo Us, Inc. Air-cavity module with enhanced device isolation
US10103080B2 (en) 2016-06-10 2018-10-16 Qorvo Us, Inc. Thermally enhanced semiconductor package with thermal additive and process for making the same
US10079196B2 (en) 2016-07-18 2018-09-18 Qorvo Us, Inc. Thermally enhanced semiconductor package having field effect transistors with back-gate feature
CN109716511A (zh) 2016-08-12 2019-05-03 Qorvo美国公司 具有增强性能的晶片级封装
CN109844937B (zh) 2016-08-12 2023-06-27 Qorvo美国公司 具有增强性能的晶片级封装
WO2018031995A1 (en) * 2016-08-12 2018-02-15 Qorvo Us, Inc. Wafer-level package with enhanced performance
US10109502B2 (en) 2016-09-12 2018-10-23 Qorvo Us, Inc. Semiconductor package with reduced parasitic coupling effects and process for making the same
US10090339B2 (en) 2016-10-21 2018-10-02 Qorvo Us, Inc. Radio frequency (RF) switch
US10749518B2 (en) 2016-11-18 2020-08-18 Qorvo Us, Inc. Stacked field-effect transistor switch
US10068831B2 (en) 2016-12-09 2018-09-04 Qorvo Us, Inc. Thermally enhanced semiconductor package and process for making the same
US10490471B2 (en) 2017-07-06 2019-11-26 Qorvo Us, Inc. Wafer-level packaging for enhanced performance
US10784233B2 (en) 2017-09-05 2020-09-22 Qorvo Us, Inc. Microelectronics package with self-aligned stacked-die assembly
US10366972B2 (en) 2017-09-05 2019-07-30 Qorvo Us, Inc. Microelectronics package with self-aligned stacked-die assembly
US11152363B2 (en) 2018-03-28 2021-10-19 Qorvo Us, Inc. Bulk CMOS devices with enhanced performance and methods of forming the same utilizing bulk CMOS process
US10804246B2 (en) 2018-06-11 2020-10-13 Qorvo Us, Inc. Microelectronics package with vertically stacked dies
US10957850B2 (en) 2018-10-04 2021-03-23 International Business Machines Corporation Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
US10964554B2 (en) * 2018-10-10 2021-03-30 Qorvo Us, Inc. Wafer-level fan-out package with enhanced performance
US11069590B2 (en) 2018-10-10 2021-07-20 Qorvo Us, Inc. Wafer-level fan-out package with enhanced performance
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
SG10201900239YA (en) * 2019-01-11 2020-08-28 Advanced Micro Foundry Pte Ltd An ultra-thin integrated chip and manufacture of the same
KR20210129656A (ko) 2019-01-23 2021-10-28 코르보 유에스, 인크. Rf 반도체 디바이스 및 이를 형성하는 방법
KR20210129658A (ko) 2019-01-23 2021-10-28 코르보 유에스, 인크. Rf 반도체 디바이스 및 이를 형성하는 방법
US20200235040A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US11387157B2 (en) 2019-01-23 2022-07-12 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11646289B2 (en) 2019-12-02 2023-05-09 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
JP7039632B2 (ja) * 2020-01-24 2022-03-22 株式会社Kokusai Electric 基板処理装置、基板処理方法およびプログラム
US11772958B2 (en) * 2020-09-17 2023-10-03 Applied Materials, Inc. Mass flow control based on micro-electromechanical devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040080050A1 (en) 2002-10-24 2004-04-29 Lam Research Corporation Method and apparats for detecting endpoint during plasma etching of thin films
US20060157446A1 (en) 2005-01-19 2006-07-20 Sumer Johel End point detection method for plasma etching of semiconductor wafers with low exposed area
US20070262436A1 (en) 2006-05-12 2007-11-15 Micron Technology, Inc. Microelectronic devices and methods for manufacturing microelectronic devices
US20090014889A1 (en) 2005-05-12 2009-01-15 Hans-Joachim Barth Method for producing chip stacks, and associated chip stacks
WO2014062886A1 (en) 2012-10-17 2014-04-24 Tokyo Electron Limited Plasma etching endpoint detection using multivariate analysis

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849471B2 (en) * 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US6913942B2 (en) * 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
DE10342155A1 (de) * 2003-09-12 2005-04-07 Robert Bosch Gmbh Verfahren zur Herstellung von Ätzlöchern und/oder Ätzgräben sowie Membransensoreinheit
US20050221617A1 (en) * 2004-03-31 2005-10-06 Rueger Neal R Inductively coupled plasma chamber attachable to a processing chamber for analysis of process gases
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US7115955B2 (en) * 2004-07-30 2006-10-03 International Business Machines Corporation Semiconductor device having a strained raised source/drain
US7491644B2 (en) * 2004-09-10 2009-02-17 Commissariat A L'energie Atomique Manufacturing process for a transistor made of thin layers
US20070105390A1 (en) * 2005-11-09 2007-05-10 Oh Travis B Oxygen depleted etching process
US7534710B2 (en) * 2005-12-22 2009-05-19 International Business Machines Corporation Coupled quantum well devices (CQWD) containing two or more direct selective contacts and methods of making same
GB0605576D0 (en) * 2006-03-20 2006-04-26 Oligon Ltd MEMS device
US7362494B2 (en) * 2006-04-13 2008-04-22 Texas Instruments Incorporated Micromirror devices and methods of making the same
DE102006025671B4 (de) * 2006-06-01 2011-12-15 Infineon Technologies Ag Verfahren zur Herstellung von dünnen integrierten Halbleitereinrichtungen
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
US7913382B2 (en) * 2006-10-20 2011-03-29 Soligie, Inc. Patterned printing plates and processes for printing electrical elements
US8184288B2 (en) * 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US7923350B2 (en) * 2008-09-09 2011-04-12 Infineon Technologies Ag Method of manufacturing a semiconductor device including etching to etch stop regions
US20110168671A1 (en) * 2010-01-08 2011-07-14 International Business Machines Corporation Process control using signal representative of a throttle valve position
US20130016344A1 (en) * 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
US9064883B2 (en) * 2011-08-25 2015-06-23 Intel Mobile Communications GmbH Chip with encapsulated sides and exposed surface
JP5541265B2 (ja) * 2011-11-18 2014-07-09 信越化学工業株式会社 エッチングマスク膜の評価方法
US9627287B2 (en) * 2013-10-18 2017-04-18 Infineon Technologies Ag Thinning in package using separation structure as stop

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040080050A1 (en) 2002-10-24 2004-04-29 Lam Research Corporation Method and apparats for detecting endpoint during plasma etching of thin films
US20060157446A1 (en) 2005-01-19 2006-07-20 Sumer Johel End point detection method for plasma etching of semiconductor wafers with low exposed area
US20090014889A1 (en) 2005-05-12 2009-01-15 Hans-Joachim Barth Method for producing chip stacks, and associated chip stacks
US20070262436A1 (en) 2006-05-12 2007-11-15 Micron Technology, Inc. Microelectronic devices and methods for manufacturing microelectronic devices
WO2014062886A1 (en) 2012-10-17 2014-04-24 Tokyo Electron Limited Plasma etching endpoint detection using multivariate analysis

Also Published As

Publication number Publication date
US9275916B2 (en) 2016-03-01
US20140327003A1 (en) 2014-11-06
CN104134607B (zh) 2017-12-15
DE102014106132A1 (de) 2014-11-06
CN104134607A (zh) 2014-11-05

Similar Documents

Publication Publication Date Title
DE102014106132B4 (de) Verfahren und Vorrichtung zur Verarbeitung einer Mehrzahl von gehäusten elektronischen Chips, elektronischer Chip, umfassend eine Indikatorschicht, und Artikel, umfassend eine Mehrzahl derartiger elektronischer Chips
DE102014114932B4 (de) Verfahren zum Ausbilden einer gedünnten, gekapselten Chip- oder Halbleiterstruktur mit Dünnung nach Häusung unter Verwendung von Trennstruktur als Stopp
DE102012109355B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem Prüfprozess
DE102014115653B4 (de) Verfahren zum herstellen elektronischer komponenten mit elektrisch leitfähigem rahmen auf einem substrat zum aufnehmen von elektronischen chips
DE102013104048B4 (de) Verfahren zum Ausbilden von Halbleiterbauelementen
CN101107696A (zh) 用于除去薄膜层的预蚀刻注入损伤
DE102012100007A1 (de) Halbleitervorrichtungen mit Isoliersubstraten und Verfahren zur Bildung derselben
DE4433845A1 (de) Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
DE102015114304B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung, Halbleitervorrichtung und Halbleiterkomponente
DE102016112389A1 (de) Verfahren zur Verwendung beim Herstellen eines Halbleiterbauelement-Die
DE102017112644B4 (de) Plasma-zerteilen von siliziumcarbid
DE102015102579B4 (de) Halbleitervorrichtungen und Verfahren zur Ausbildung davon
DE102015104476A1 (de) Kerbenvorbereitung für Rückseitenmetallisierung
DE102007030021B4 (de) Verfahren zum Ausbilden einer Halbleiterstruktur mit einem Feldeffekttransistor, der ein verspanntes Kanalgebiet aufweist und Halbleiterstruktur
US9362144B2 (en) Article and panel comprising semiconductor chips, casting mold and methods of producing the same
DE102014102112A1 (de) Bauelement und Verfahren zur Herstellung eines Bauelements
EP3526158B1 (de) Verfahren zum herstellen eines stressentkoppelten mikromechanischen drucksensors
DE102016102577A1 (de) Prozessierung von Halbleitervorrichtungen
DE102013109590A1 (de) Verfahren zum Bearbeiten eines Wafers und Verfahren zum Zertrennen eines Wafers
DE102014112644A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitervorrichtung
DE102014115549A1 (de) Waferanordnung, verfahren zum prüfen eines wafers und verfahren zum bearbeiten eines wafers
DE102011013228B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements für 3D-Integration
DE102015120755A1 (de) Verfahren zum Vereinzeln von einer Vielzahl von Chips
DE102007021991B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements durch Ausbilden einer porösen Zwischenschicht
DE102021125239B4 (de) Wafer, elektronische Komponente und Verfahren mit ausgekleideten und geschlossenen Trenngräben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative