DE102014019978B3 - Bridge-verbindung mit geschichteten verbindungsstrukturen - Google Patents

Bridge-verbindung mit geschichteten verbindungsstrukturen Download PDF

Info

Publication number
DE102014019978B3
DE102014019978B3 DE102014019978.9A DE102014019978A DE102014019978B3 DE 102014019978 B3 DE102014019978 B3 DE 102014019978B3 DE 102014019978 A DE102014019978 A DE 102014019978A DE 102014019978 B3 DE102014019978 B3 DE 102014019978B3
Authority
DE
Germany
Prior art keywords
bridge
layer
connector
die
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014019978.9A
Other languages
English (en)
Inventor
Yueli Liu
Qinglei Zhang
Amanda E. Schuckman
Rui Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of DE102014019978B3 publication Critical patent/DE102014019978B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16265Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2746Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/3301Structure
    • H01L2224/3303Layer connectors having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/335Material
    • H01L2224/33505Layer connectors having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81401Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/81411Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81466Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/8147Zirconium [Zr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81472Vanadium [V] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81479Niobium [Nb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81481Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81484Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/81486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/81487Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04966th Group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10363Jumpers, i.e. non-printed cross-over connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/34Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by soldering
    • H05K3/341Surface mounted components
    • H05K3/3431Leadless components
    • H05K3/3436Leadless components having an array of bottom contacts, e.g. pad grid array or ball grid array components

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

IC-Anordnung (100) umfassend:ein Package-Substrat (150) mit einem Hohlraum;eine in dem Hohlraum des Package-Substrats angeordnete Bridge (140), wobei die Bridge (140) ein Siliziumsubstrat aufweist;eine dielektrische Schicht (550) über der Bridge (140);ein erstes Verbindungsstück (620), das über der Bridge (140) angeordnet und elektrisch mit der Bridge (140) gekoppelt ist, wobei das erste Verbindungsstück (620) in und über die dielektrische Schicht (550) erstreckend angeordnet ist, wobei das erste Verbindungsstück (620) Kupfer aufweist;eine erste Schicht (710) auf dem ersten Verbindungsstück (620), wobei die erste Schicht (710) Nickel aufweist;ein zweites Verbindungsstück, das über der Bridge (140) angeordnet und elektrisch mit der Bridge (140) gekoppelt ist, wobei das zweite Verbindungsstück in und über die dielektrische Schicht (550) erstreckend angeordnet ist, wobei das zweite Verbindungsstück Kupfer aufweist;eine zweite Schicht auf dem zweiten Verbindungsstück, wobei die zweite Schicht Nickel aufweist;eine erste Verbindungsstruktur (135, 137), die in dem Package-Substrat (150) angeordnet ist, wobei die erste Verbindungsstruktur (135, 137) von einer ersten Seite der Bridge (140) lateral beabstandet ist, wobei sich die erste Verbindungsstruktur (135, 137) durch die dielektrische Schicht (550) erstreckt;eine zweite Verbindungsstruktur, die in dem Package-Substrat (150) angeordnet ist, wobei die zweite Verbindungsstruktur von einer zweiten Seite der Bridge (140) lateral beabstandet ist, wobei sich die zweite Verbindungsstruktur durch die dielektrische Schicht (550) erstreckt;ein erster Die (110), der elektrisch mit dem ersten Verbindungsstück und der ersten Verbindungsstruktur gekoppelt ist; undein zweiter Die (120), der elektrisch mit dem zweiten Verbindungsstück und der zweiten Verbindungsstruktur gekoppelt ist.

Description

  • Gebiet der Erfindung
  • Ausführungsformen der vorliegenden Offenbarung betreffen im Allgemeinen das Gebiet integrierter Schaltungen und insbesondere Techniken und Konfigurationen für eine Bridge-Verbindung mit geschichteten Verbindungsstrukturen in Anordnungen integrierter Schaltungen.
  • Die Druckschrift US 7 402 901 B2 , die Druckschrift US 5 937 320 A und die Druckschrift US 5 081 563 A beschreiben bekannte Halbleiterbauelemente.
  • Beschreibung des Standes der Technik
  • Eine eingebettete Bridge-Verbindung kann eine schnellere Kommunikation zwischen Prozessoren und Speicherchips bereitstellen. Möglicherweise müssen an einem Substrat an der Verbindung auf erster Ebene (FLI - First Level Interconnection) verschiedene Dies angebracht sein, um Hochleistungsrechnen (HPC - High Performance Computing) zu ermöglichen. Da Dies auf immer kleinere Abmessungen zusammenschrumpfen, ist zwischen Verbindungsstrukturen auf dem FLI-Level allgemein ein feinerer Abstand (Pitch) notwendig.
  • Das Bereitstellen eines feineren Pitches für zukünftige EDV-Geräte kann bei der Verwendung gegenwärtiger Technologien eine Herausforderung sein. Zum Beispiel kann gegenwärtig ein unterschiedlicher Abstand zwischen Kontaktierhügeln (Mixed Bump Pitch) zwischen Prozessor-Die und Speicher-Die das Einhäusen und Montieren sehr schwierig gestalten und zu einer schlechten Ertragsleistung führen. FLI-Verbindungsarchitektur, die ein Lotpastendruckverfahren (SPP - Solder Paste Printing) verwendet, kann infolge von Beschränkungen der Lotbumphöhe und/oder des Lotvolumens auf den Dies, die insbesondere für kleinere Pitch-Bereiche der FLI zu Nicht-Kontakt-Öffnungen und Bumpbrüchen führen, zu Ertragsausfällen führen. Darüber hinaus kann infolge der Kupfer-(Cu)-diffusion und der Oberflächenbearbeitung mit organischem Lotschutzmittel, die an der Substratseite für die FLI-Verbindung verwendet wird, das Elektromigrationsrisiko erhöht sein.
  • Figurenliste
  • Die Ausführungsformen sind durch die folgende ausführliche Beschreibung in Verbindung mit den beigefügten Zeichnungen leicht zu verstehen. Um diese Beschreibung zu erleichtern, bezeichnen gleiche Bezugszeichen gleiche Konstruktionselemente. In den Figuren der beigefügten Zeichnungen sind Ausführungsformen als Beispiel und nicht als Einschränkung dargestellt.
    • 1 stellt schematisch eine Querschnittsseitenansicht einer beispielhaften Anordnung integrierter Schaltungen (IC) gemäß einigen Ausführungsformen dar, die für die Verwendung eingebetteter Bridge-Verbindungen mit geschichteten Verbindungsstrukturen in einem Substrat konfiguriert ist.
    • 2 stellt schematisch ein Ablaufdiagramm eines Package-Substrat-Herstellungsverfahrens gemäß einigen Ausführungsformen zum Bilden eines Substrats dar, in das eine Bridge-Verbindung mit geschichteten Verbindungsstrukturen eingebettet ist.
    • 3 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge vor dem Einbetten einer Bridge in ein Substrat im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 4 stellt schematisch Querschnittsseitenansichten einiger weiterer ausgewählter Vorgänge vor dem Einbetten einer Bridge in ein Substrat im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 5 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge zum Einbetten einer Bridge in ein Substrat im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 6 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge zum Bilden einer geschichteten Verbindungsstruktur im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 7 stellt schematisch Querschnittsseitenansichten einiger weiterer ausgewählter Vorgänge zum Bilden einer geschichteten Verbindungsstruktur im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 8 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge zum Vollenden einer geschichteten Verbindungsstruktur im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsverfahren gemäß einigen Ausführungsformen dar.
    • 9 stellt schematisch ein Ablaufdiagramm eines Montageverfahrens gemäß einigen Ausführungsformen dar, das ein Package-Substrat mit eingebetteten Bridge-Verbindungen verwendet.
    • 10 stellt schematisch ein EDV-Gerät gemäß einigen Ausführungsformen dar, das eingebettete Bridge-Verbindungen mit geschichteten Verbindungsstrukturen in einem Substrat wie hier beschrieben beinhaltet.
  • Detaillierte Beschreibung
  • Ausführungsformen der vorliegenden Erfindung beschreiben Techniken und Konfigurationen für eine Bridge-Verbindung mit geschichteten Verbindungsstrukturen in Anordnungen integrierter Schaltungen. In der folgenden Beschreibung werden verschiedene Aspekte der veranschaulichenden Umsetzungen mit Hilfe von Begriffen beschrieben, die gewöhnlich von Fachleuten verwendet werden, um anderen Fachleuten den Inhalt ihrer Arbeit zu vermitteln. Für die Fachleute wird jedoch offensichtlich sein, dass Ausführungsformen der vorliegenden Offenbarung mit nur einem der beschriebenen Aspekte realisiert werden können. Zu Erklärungszwecken sind konkrete Anzahlen, Materialien und Konfigurationen dargelegt, um ein umfassendes Verständnis der veranschaulichenden Umsetzungen bereitzustellen. Für einen Fachmann wird jedoch offensichtlich sein, dass Ausführungsformen der vorliegenden Offenbarung ohne diese konkreten Details realisiert werden können. In anderen Fällen sind allgemein bekannte Merkmale ausgelassen oder vereinfacht worden, um die veranschaulichenden Umsetzungen nicht schwer verständlich zu machen.
  • In der folgenden ausführlichen Beschreibung wird auf die beigefügten Zeichnungen, die einen Teil derselben bilden, Bezug genommen, wobei gleiche Bezugszeichen durchweg gleiche Teile kennzeichnen, und in denen veranschaulichend Ausführungsformen gezeigt sind, in denen der Erfindungsgegenstand der vorliegenden Offenbarung realisiert werden kann.
  • Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A und/oder B“ (A), (B) oder (A und B). Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A, B und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C) .
  • Die Beschreibung kann auf der Perspektive basierende Beschreibungen verwenden, wie beispielsweise oben/unten, innen/außen, über/unter und dergleichen. Derartige Beschreibungen werden lediglich verwendet, um die Beschreibung zu erleichtern, und sind nicht dafür gedacht, die Anwendung von hier beschriebenen Ausführungsformen auf irgendeine bestimmte Ausrichtung zu beschränken.
  • Die Beschreibung kann die Ausdrücke „bei einer Ausführungsform“, „bei Ausführungsformen“ oder „bei einigen Ausführungsformen“ verwenden, die jeweils eine oder mehrere der gleichen Ausführungsform oder verschiedener Ausführungsformen bezeichnen können. Des Weiteren sind die Begriffe „umfassen“, „beinhalten“, „aufweisen“ und dergleichen, wenn sie in Bezug auf die vorliegende Offenbarung verwendet werden, gleichbedeutend.
  • Der Begriff „gekoppelt mit“ kann hier zusammen mit seinen Ableitungen verwendet sein. „Gekoppelt“ kann eines oder mehrere des Folgenden bedeuten: „Gekoppelt“ kann bedeuten, dass zwei oder mehr Elemente in direktem physischem oder elektrischem Kontakt stehen. ”Gekoppelt kann jedoch auch bedeuten, dass zwei oder mehr Elemente in indirektem Kontakt miteinander stehen, aber immer noch zusammenarbeiten oder miteinander interagieren, und es kann bedeuten, dass ein oder mehrere weitere Elemente zwischen die Elemente, die als miteinander gekoppelt bezeichnet sind, gekoppelt oder geschaltet sind. Der Begriff „direkt gekoppelt“ kann bedeuten, dass zwei oder mehr Elemente in direktem Kontakt miteinander stehen.
  • Bei verschiedenen Ausführungsformen kann der Ausdruck „ein erstes Merkmal, das auf einem zweiten Merkmal gebildet, abgeschieden oder auf andere Weise angeordnet ist“ kann bedeuten, dass das erste Merkmal über dem zweiten Merkmal gebildet, abgeschieden oder angeordnet ist und mindestens ein Teil des ersten Merkmals in direktem Kontakt (z. B. direkter physischer und/oder elektrischer Kontakt) oder indirektem Kontakt (z. B. ein oder mehrere weitere Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal aufweisend) mit mindestens einem Teil des zweiten Merkmals stehen kann.
  • Im Rahmen des Vorliegenden kann der Begriff „Modul“ eine anwendungsspezifische integrierte Schaltung (ASIC), eine elektronische Schaltung, ein System auf einem Chip (SoC), ein Prozessor (gemeinsam genutzt, fest zugeordnet oder Gruppe) und/oder ein Speicher (gemeinsam genutzt, fest zugeordnet oder Gruppe), die ein oder mehrere Software- oder Firmwareprogramme ausführen, eine kombinatorische Logikschaltung und/oder andere geeignete Komponenten, welche die beschriebene Funktionalität bereitstellen, bezeichnen, ein Teil davon sein oder diese beinhalten.
  • 1 stellt schematisch eine Querschnittsseitenansicht einer beispielhaften IC-Anordnung 100 gemäß einigen Ausführungsformen bereit, die dafür konfiguriert ist, eingebettete Bridge-Verbindungen mit geschichteten Verbindungsstrukturen in einem Substrat zu verwenden. Bei Ausführungsformen kann die IC-Anordnung 100 einen oder mehrere Dies beinhalten, wie beispielsweise Die 110 und Die 120, die elektrisch und/oder physisch mit einem Package-Substrat 150 gekoppelt sind, wie zu erkennen ist. Das Package-Substrat 150 kann ferner elektrisch mit einer Platine 190 gekoppelt sind, wie zu erkennen ist. Im Rahmen des Vorliegenden kann die Verbindung auf erster Ebene (FLI - First Level Interconnect) die Verbindung zwischen einem Die und einem Package-Substrat bezeichnen, während die Verbindung auf zweiter Ebene (SLI - Second Level Interconnect) die Verbindung zwischen einem Package und einer Platine bezeichnen kann.
  • Der Die 110 oder 120 kann eine eigenständige Einheit verkörpern, die unter Verwendung von Halbleiterherstellungstechniken, wie beispielsweise Dünnfilmbeschichtung, Lithografie, Ätzen und dergleichen, aus einem Halbleitermaterial hergestellt wird. Bei einigen Ausführungsformen kann der Die 110 oder 120 einen Prozessor, Speicher, ein SoC oder eine ASIC beinhalten oder Teil davon sein. Der Die 110 und 120 kann gemäß einer Vielzahl von geeigneten Konfigurationen, einschließlich einer Flip-Chip-Konfiguration wie abgebildet, oder anderen Konfigurationen, wie zum Beispiel eingebettet in ein Package-Substrat 150, am Package-Substrat 150 angebracht sein. Bei der Flip-Chip-Konfiguration kann der Die 110 oder 120 mit Hilfe von FLI-Strukturen an einer Oberfläche (z. B. Seite S1) des Package-Substrats 150 angebracht sein, wie beispielsweise durch die Verbindungsstrukturen 130, 135, die dafür konfiguriert sind, die Dies 110, 120 elektrisch und/oder mechanisch mit dem Package-Substrat 150 zu koppeln und elektrische Signale zwischen einem oder mehreren der Dies 110, 120 und anderen elektrischen Komponenten leitwegzulenken (routen). Bei einigen Ausführungsformen können die elektrischen Signale u. a. Eingangs-/Ausgangssignale (I/O-Signale) sein und/oder Energieversorgung/Erdung, die mit dem Betrieb der Dies 110, 120 verbunden sind.
  • Die Verbindungsstruktur 130 kann elektrisch mit der Bridge 140 gekoppelt sein, um mit Hilfe der Bridge 140 die elektrischen Signale zwischen den Dies 110, 120 zu routen. Die Verbindungsstruktur 130 kann, wie im Weiteren erläutert ist, die Diffusion wesentlich einschränken und die Elektromigrationsrisiken abschwächen sowie eine höhere und bessere FLI-Verbindung und Abstandshöhe (Standoff Height) bereitstellen, was die Leistung der Anordnung verbessern, den Ertragsverlust der Anordnung mindern und die Zuverlässigkeit der FLI erhöhen kann.
  • Die Verbindungsstruktur 135 kann dafür konfiguriert sein, die elektrischen Signale zwischen einem Die (z. B. Die 110) und einem elektrischen Pfad 133 zu routen, die von einer ersten Seite S1 zu einer zweiten Seite S2, die der ersten Seite S1 gegenüberliegt, durch das Package-Substrat 150 verläuft. Zum Beispiel kann die Verbindungsstruktur 135 mit anderen Verbindungsstrukturen gekoppelt sein (z. B. Verbindungsstruktur 137), wie zum Beispiel Trenches, Durchkontaktierungen (VIAs), Traces oder leitenden Schichten und dergleichen, die dafür konfiguriert sind, elektrische Signale des Die 110 zwischen der ersten Seite S1 und der zweiten Seite S2 des Package-Substrats 150 zu routen. Die Verbindungsstruktur 135 kann bei einigen Ausführungsformen Teil des elektrischen Pfads 133 sein.
  • Die Verbindungsstruktur 137 ist lediglich eine beispielhafte Struktur zum Zweck der Erläuterung und kann jede einer Vielzahl von geeigneten Verbindungsstrukturen und/oder Schichten darstellen. Die ähnlich konfigurierten Verbindungsstrukturen 130 und 135 können den Die 120 oder andere Dies (nicht dargestellt) mit dem Package-Substrat 150 koppeln. Das Package-Substrat 150 kann mehr oder weniger Verbindungsstrukturen beinhalten als dargestellt. Bei einigen Ausführungsformen kann elektrisch isolierendes Material, wie beispielsweise ein Formmassen- oder Underfill-Material (nicht dargestellt), einen Abschnitt der Dies 110 oder 120 und/oder die Verbindungsstrukturen 130, 135 teilweise umschließen.
  • Bei einigen Ausführungsformen kann die Bridge 140 dafür konfiguriert sein, die Dies 110 und 120 elektrisch miteinander zu koppeln. Bei einigen Ausführungsformen kann die Bridge 140 Verbindungsstrukturen (z. B. Verbindungsstruktur 130) beinhalten, die als Merkmale zum elektrischen Routen zwischen den Dies 110 und 120 dienen. Bei einigen Ausführungsformen kann zwischen einigen Dies auf dem Package-Substrat 150, aber nicht zwischen anderen Dies eine Bridge angeordnet sein. Bei einigen Ausführungsformen ist möglicherweise in der Draufsicht keine Brücke sichtbar. Die Bridge 140 kann bei einigen Ausführungsformen in einen Hohlraum des Package-Substrats 150 eingebettet sein. Die Bridge 140 kann eine Routing-Struktur mit hoher Dichte sein, die Leitwege für elektrische Signale bereitstellt. Die Bridge 140 kann ein Bridgesubstrat beinhalten, das aus Halbleitermaterial besteht, wie beispielsweise Silizium (Si) mit hohem Widerstand, auf dem Verbindungsmerkmale zum elektrischen Routen gebildet sind, um eine Chip-zu-Chip-Verbindung zwischen den Dies 110 und 120 bereitzustellen. Die Bridge 140 kann bei anderen Ausführungsformen aus anderen geeigneten Materialien bestehen. Bei einigen Ausführungsformen kann das Package-Substrat 150 mehrere eingebettete Bridges beinhalten, um zwischen mehreren Dies elektrische Signale zu routen.
  • Bei einigen Ausführungsformen ist das Package-Substrat 150 ein auf Epoxid basierendes Laminatsubstrat mit einem Kern und/oder Aufbauschichten, wie zum Beispiel ein Ajinomoto-Build-up-Film-(ABF)-Substrat. Das Package-Substrat 150 kann bei anderen Ausführungsformen andere geeignete Arten von Substraten beinhalten, zum Beispiel Substrate, die aus Glas, Keramik oder Halbleitermaterialien gebildet sind.
  • Die Platine 190 kann eine gedruckte Leiterplatte (PCB) sein, die aus einem elektrisch isolierenden Material besteht, wie beispielsweise einem Epoxidlaminat. Die Platine 190 kann zum Beispiel elektrisch isolierende Schichten beinhalten, die aus Materialien wie zum Beispiel Polytetrafluorethylen, Phenol-Baumwollpapier wie beispielsweise Flammschutzmittel 4 (FR-4), FR-1, Baumwollpapier und Epoxidmaterialien wie beispielsweise CEM-1 oder CEM-3, oder Glasgewebematerialien, die mit Hilfe eines Epoxidharz-Prepregmaterials zusammenlaminiert sind, besteht. Strukturen wie Traces, Trenches, Durchkontaktierungen können durch elektrisch isolierende Schichten hindurch gebildet sein, um die elektrischen Signale des Die 110 oder 120 durch die Platine 190 zu routen. Die Platine 190 kann bei anderen Ausführungsformen aus anderen geeigneten Materialien bestehen. Bei einigen Ausführungsformen ist die Platine 190 ein Motherboard (z. B. Motherboard 1002 von 10).
  • Package-Level-Verbindungen, wie beispielsweise Lotkugeln 170 und LGA-Strukturen (Land-Grid Array) können an ein oder mehrere Lötaugen (im Weiteren „Lötaugen 160“) auf dem Package-Substrat 150 und ein oder mehrere Pads 180 auf der Platine 190 gekoppelt sein, um entsprechende Lötverbindungen zu bilden, die dafür konfiguriert sind, die elektrischen Signale des Weiteren zwischen dem Package-Substrat 150 und der Platine 190 zu routen. Die Lötaugen 160 und/oder Pads 180 können aus jedem geeigneten elektrisch leitenden Material bestehen, wie beispielsweise Metall, einschließlich zum Beispiel Nickel (Ni), Palladium (Pd), Gold (Au), Silber (Ag), Kupfer (Cu) und Kombinationen daraus. Bei anderen Ausführungsformen können andere geeignete Techniken verwendet werden, um das Package-Substrat 150 physisch und/oder elektrisch mit der Platine 190 zu koppeln.
  • 2 stellt schematisch ein Ablaufdiagramm eines Package-Substrat-Herstellungsprozesses (im Weiteren „Prozess 200“) zum Bilden eines Substrats (z. B. Package-Substrat 150 von 1) gemäß einigen Ausführungsformen dar, in das eine Bridge-Verbindung eingebettet ist, die geschichtete Verbindungsstrukturen (z. B. Verbindungsstruktur 130 von 1) verwendet. Der Prozess 200 kann mit Ausführungsformen übereinstimmen, die gemäß verschiedenen Ausführungsformen im Zusammenhang mit 3 bis 8 beschrieben sind.
  • Bei 210 kann der Prozess 200 das Bilden einer Bridge (z. B. Bridge 140 von 1) in einem Substrat beinhalten. Bei Ausführungsformen kann die Bridge aus einem Halbleitermaterial (z. B. Si) bestehen und Merkmale zum elektrischen Routen beinhalten, um elektrische Signale zwischen Dies zu routen. Bei einigen Ausführungsformen kann die Bridge in einer Ebene angeordnet sein, die von einer oder mehreren Aufbauschichten des Substrats gebildet wird. Zum Beispiel ist die Bridge 140, wie in der im Zusammenhang mit 1 dargestellten Ausführungsform zu sehen ist, in die Aufbauschichten des Substrats 150 eingebettet. Bei einigen Ausführungsformen kann die Bridge in einer Ebene angeordnet sein, die von den Aufbauschichten gebildet wird, aber separat von den Aufbauschichten gebildet sein.
  • Bei einigen Ausführungsformen kann gemäß verschiedenen Ausführungsformen die Bridge (z. B. Bridge 140 von 1), die in einer Ebene der Aufbauschichten angeordnet ist, durch Einbetten der Brücke in Aufbauschichten als Teil der Bildung der Aufbauschichten oder durch Bilden eines Hohlraumes in den Aufbauschichten und Anordnen der Bridge im Hohlraum nach dem Bilden der Aufbauschichten gebildet werden. Die Bridge kann gemäß verschiedenen Ausführungsformen während der Herstellung in das Substrat eingebettet werden, wie im Zusammenhang mit 3 bis 5 beschrieben.
  • Bei 220 kann der Prozess 200 das Bilden eines Verbindungsstücks beinhalten, die ein erstes leitendes Material beinhaltet, das mit der Bridge verbunden ist, um elektrische Signale über die Oberfläche des Substrats hinaus zu routen. Bei Ausführungsformen kann das Verbindungsstück Teil der Verbindungsstruktur (z. B. Verbindungsstruktur 130 von 1) sein, welche die Bridge elektrisch mit einem Die koppeln kann. Das Verbindungsstück kann das erste elektrisch leitende Material beinhalten. Bei einer Ausführungsform kann das erste elektrisch leitende Material Cu beinhalten. Bei anderen Ausführungsformen kann das erste elektrisch leitende Material andere chemische Zusammensetzungen oder Kombinationen daraus beinhalten. Bei Ausführungsformen kann das Verbindungsstück Strukturen beinhalten, wie beispielsweise Traces, Trenches, Durchkontaktierungen, Lötaugen, Pads oder andere Strukturen, die entsprechende elektrische Pfade für elektrische Signale eines Dies durch das Package-Substrat hindurch zu einer eingebetteten Bridge bereitstellen und dann zum Beispiel zu einem anderen Die, der elektrisch mit der Bridge gekoppelt ist. Bei einer Ausführungsform kann die Verbindung eine Durchkontaktierungsstruktur beinhalten. Bei einer Ausführungsform kann das Verbindungsstück ferner eine Pad-Struktur beinhalten, die mit der Durchkontaktierungsstruktur verbunden ist. Das Verbindungsstück kann gemäß verschiedenen Ausführungsformen während der Herstellung gebildet werden, wie im Zusammenhang mit 6 beschrieben.
  • Bei 230 kann der Prozess 200 das Bilden einer Barriereschicht direkt auf dem Verbindungsstück beinhalten, die ein zweites leitendes Material beinhaltet. Bei Ausführungsformen kann die Barriereschicht das zweite elektrisch leitende Material beinhalten, wie beispielsweise ein Barrieremetall, und kann aufgebracht werden, um das Verbindungsstück abzudecken. Die Barriereschicht kann eine Diffusion des in dem Verbindungsstück verwendeten ersten leitenden Materials in umgebende Materialien einschränken oder verhindern, während eine elektrische Verbindung zwischen dem Verbindungsstück und einem Die aufrechterhalten wird. Das zweite leitende Material kann eine andere chemische Zusammensetzung aufweisen als das erste leitende Material. Das zweite elektrisch leitende Material kann zum Beispiel Nickel (Ni), Tantal (Ta), Hafnium (Hf), Niob (Nb), Zirkonium (Zr), Vanadium ((V), Wolfram (W) oder Kombinationen daraus beinhalten. Bei einigen Ausführungsformen kann das zweite elektrisch leitende Material leitende Keramiken beinhalten, wie beispielsweise Tantalnitrid, Indiumoxid, Kupfersilizid, Wolframnitrid und Titannitrid.
  • Bei Ausführungsformen kann die Barriereschicht das Risiko von Elektromigration vermindern. Das Risiko von Elektromigration kann mit höheren Gleichstromdichten steigen, wenn die Strukturgröße in Elektronik, wie beispielsweise bei integrierten Schaltungen (ICs), abnimmt. Elektromigration kann Diffusionsprozesse auslösen, wie beispielsweise Korngrenzflächendiffusion, Massendiffusion oder Oberflächendiffusion. Bei Ausführungsformen kann, wenn das erste leitende Material Kupfer enthält, in den Kupferverbindungen durch Elektromigration ausgelöste Kupferdiffusion vorherrschen. Die Barriereschicht kann die Kupferdiffusion zwischen den benachbarten Kupfer- und/oder Kupferlegierungsleitungen verhindern. Bei einer Ausführungsform kann Galvanisieren verwendet werden, um die Barriereschicht zu bilden. Die Barriereschicht kann gemäß verschiedenen Ausführungsformen während der Herstellung gebildet werden, wie im Zusammenhang mit 7 beschrieben.
  • Bei 240 kann der Prozess 200 das Bilden einer Lotschicht direkt auf der Barriereschicht beinhalten, die ein drittes leitendes Material beinhaltet, wobei die Barriereschicht und die Lotschicht dafür konfiguriert sind, elektrische Signale zu routen. Bei Ausführungsformen kann die Lotschicht ein drittes elektrisch leitendes Material beinhalten, wie beispielsweise eine schmelzbare Metalllegierung, die auf die Barriereschicht aufgebracht wird. Die Lotschicht kann verwendet werden, um die darunterliegende Struktur, einschließlich der Barriereschicht und dem Verbindungsstück, mit einem Die über seine Verbindungspunkte zu verbinden, während eine elektrische Verbindung zwischen der darunterliegenden Struktur und dem Die aufrechterhalten wird. Bei Ausführungsformen können das Verbindungsstück, die Barriereschicht und die Lotschicht gemeinsam eine Verbindungsstruktur bilden, um elektrische Signale zwischen der Bridge und einem Die zu routen.
  • Bei Ausführungsformen kann das dritte leitende Material eine andere chemische Zusammensetzung aufweisen als das erste und das zweite leitende Material. Das dritte elektrisch leitende Material kann zum Beispiel Zinn (Sn), Silber (Ag), Nickel (Ni), Zink (Zn) oder Kombinationen daraus beinhalten. Die Lotschicht kann gemäß verschiedenen Ausführungsformen während der Herstellung gebildet werden, wie im Zusammenhang mit 7 beschrieben. Bei anderen Ausführungsformen kann die Lotschicht durch Galvanisieren, Pastendruck, Ball-Bumping oder andere kompatible Verfahren gebildet werden.
  • Verschiedene Vorgänge sind wiederum in einer Art und Weise als mehrere einzelne Vorgänge beschrieben, die am hilfreichsten für das Verständnis des beanspruchten Erfindungsgegenstandes ist. Die Reihenfolge der Beschreibung sollte jedoch nicht dahingehend ausgelegt werden, dass diese Vorgänge notwendigerweise reihenfolgenabhängig sind. Die Vorgänge des Prozesses 200 können in einer anderen geeigneten Reihenfolge als der angegebenen ausgeführt werden. Bei einigen Ausführungsformen kann der Prozess 200 Vorgänge beinhalten, die im Zusammenhang mit 3 bis 8 beschrieben sind, und umgekehrt.
  • 3 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge vor dem Einbetten einer Bridge im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess 200 gemäß einigen Ausführungsformen dar. Bezüglich des Vorganges 392 ist das Substrat nach dem Bilden einer dielektrischen Schicht 320 über einer strukturierten Metallschicht 310 dargestellt, wie zu erkennen ist. Bei Ausführungsformen können die strukturierte Metallschicht und eine beliebige Anzahl von Schichten unter der strukturierten Metallschicht Teil des Substrats sein und können in jeder auf dem Fachgebiet bekannten Art gebildet sein. Zum Beispiel kann die strukturierte Metallschicht eine obere oder äußerste leitende Schicht einer Aufbauschicht sein, die mittels eines Semi-Additiv-Prozesses (SAP)gebildet wird.
  • Bei Ausführungsformen kann die dielektrische Schicht 320 aus jedem beliebigen einer breiten Vielzahl von geeigneten dielektrischen Materialien bestehen, einschließlich zum Beispiel eines auf Epoxid basierenden Laminatmaterials, Siliziumoxid (z. B. SiO2), Siliziumcarbid (SiC), Siliziumcarbonitrid (SiCN) oder Siliziumnitrid (z. B. SiN, Si3N4 usw.). Es können auch andere geeignete dielektrische Materialien verwendet werden, einschließlich zum Beispiel Low-k-Dielektrika mit einer dielektrischen Konstante k, die kleiner ist als eine dielektrische Konstante k von Siliziumdioxid. Bei Ausführungsformen kann die dielektrische Schicht 320 durch Abscheiden eines dielektrischen Materials mittels einer geeigneten Technik gebildet werden, einschließlich zum Beispiel Techniken der Atomlagenabscheidung (ALD), physikalischen Gasphasenabscheidung (PVD) oder chemischen Gasphasenabscheidung (CVD). Bei Ausführungsformen kann die dielektrische Schicht 320 ein Polymer (auf Epoxid basierendes Harz) mit Silica-Füllstoff beinhalten, um geeignete mechanische Eigenschaften bereitzustellen, die Verlässlichkeitsanforderungen des Packages erfüllen. Bei Ausführungsformen kann die dielektrische Schicht 320 als Polymerfilm gebildet sein, beispielsweise durch ABF-Laminierung. Die dielektrische Schicht 320 kann eine geeignete Ablationsrate aufweisen, um das Strukturieren durch Lasern zu ermöglichen, wie im Vorliegenden an anderer Stelle beschrieben.
  • Bezüglich des Vorganges 394 ist das Substrat nach dem Formen des Hohlraumes 332 auf der dielektrischen Schicht 320 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann der Hohlraum 332 eine Durchkontaktierungsöffnung sein, die mittels Laser in die dielektrische Schicht 320 gebohrt ist, um einen Abschnitt der strukturierten Metallschicht 310 freizulegen. Um den Hohlraum 332 zu bilden, kann jede herkömmliche Technik verwendet werden, wie beispielsweise CO2-Laser. Bei Ausführungsformen kann nachfolgend ein Desmear-Prozess angewandt werden, um verschmiertes dielektrisches Material, wie beispielsweise Epoxidharz, von der Oberfläche der strukturierten Metallschicht 310 zu entfernen, um zu verhindern, dass Schmierrückstände eine andere dielektrische Schicht bilden.
  • Bei Ausführungsformen wird dann mittels einer geeigneten Technik eine metallische Keimschicht 330 auf der Oberseite der N-2-Schicht abgeschieden. Bei einigen Ausführungsformen kann stromloses Abscheiden verwendet werden, um die metallische Keimschicht 330 zu bilden. Zum Beispiel kann ein Katalysator, wie beispielsweise Palladium (Pd) abgeschieden werden, gefolgt von einem stromlosen Kupfer-(Cu)-Abscheideverfahren. Bei einigen Ausführungsformen kann eine physikalische Dampfabscheidetechnik (d. h. Sputtern) verwendet werden, um die metallische Keimschicht 330 abzuscheiden. Bezüglich des Vorganges 396 ist das Substrat nach dem Bilden einer lichtempfindlichen Schicht dargestellt, wie zum Beispiel einer Trockenfilmresist-(DFR)-Schicht 336, wie zu erkennen ist. Bei Ausführungsformen kann die DFR-Schicht 336 unter Anwendung einer beliebigen auf dem Fachgebiet bekannten Technik laminiert und strukturiert werden. Bei Ausführungsformen kann die Öffnung 328 in der DFR-Schicht 336 größere Querabmessungen aufweisen als der Hohlraum 332, wie zu erkennen ist.
  • 4 stellt schematisch Querschnittsseitenansichten einiger weiterer ausgewählter Vorgänge vor dem Einbetten einer Bridge in Verbindung mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess gemäß einigen Ausführungsformen dar. Bezüglich des Vorganges 492 ist das Substrat nach dem Abscheiden eines leitenden Materials in den Hohlraum 332 und die Öffnung 328 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann das leitende Material das erste elektrisch leitende Material wie oben beschrieben beinhalten, wie beispielsweise Metall, das zum Beispiel Nickel (Ni), Palladium (Pd), Gold (Au), Silber (Ag), Kupfer (Cu) und Kombinationen daraus beinhaltet. Bei Ausführungsformen können der Hohlraum 332 und die Öffnung 328 zum Beispiel mit einem Galvanisierungsprozess gefüllt werden. Bei Ausführungsformen kann ein Kupfergalvanisierungsprozess ausgeführt werden, um den Hohlraum 332 und die Öffnung 328 zu füllen. Bei Ausführungsformen kann die Verbindungsstruktur 410, die in Vorgang 492 gebildet wurde, über die Oberfläche der N-2-Schicht hinausragen.
  • Bezüglich des Vorganges 494 ist das Substrat nach dem Ablösen des DFR dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann der DFR unter Anwendung eines beliebigen herkömmlichen Ablöseprozesses entfernt werden. Bezüglich des Vorganges 496 ist das Substrat nach dem Ätzen der metallischen Keimschicht 330 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann das Ablösen des DFR die Verbindungsstruktur 410 weiter herausarbeiten und die darunterliegende dielektrische Schicht 320 freilegen.
  • 5 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Operationen zum Einbetten einer Bridge in Verbindung mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess gemäß einigen Ausführungsformen dar. Bezüglich des Vorganges 592 ist das Substrat nach dem Bilden des Bridge-Hohlraumes 502 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann der Bridge-Hohlraum 502 zum Aufsetzen einer Bridge bereitgestellt werden. Bei Ausführungsformen kann mindestens ein Teil der dielektrischen Schicht 320 entfernt werden, indem sie Wärme oder Chemikalien ausgesetzt wird, um den Bridge-Hohlraum 502 zu bilden. Bei Ausführungsformenkann der Bridge-Hohlraum 502 mittels Laser in die dielektrische Schicht 320 gebohrt werden, um einen Abschnitt der strukturierten Metallschicht 310 freizulegen. Bei anderen Ausführungsformen kann der Bridge-Hohlraum 502 während der Herstellung der zuvor beschriebenen Aufbauschichten offen gelassen werden. Bei noch anderen Ausführungsformen kann der Bridge-Hohlraum 502 mit Hilfe eines Strukturierungsprozesses durch die zuvor beschriebenen Aufbauschichten hindurch gebildet werden. Zum Beispiel kann die dielektrische Schicht 320 aus einem lichtempfindlichen Material bestehen, das zum Maskieren, Strukturieren und Ätzen oder für Filmentwicklungsprozesse geeignet ist.
  • Bezüglich des Vorganges 594 ist das Substrat nach dem Montieren der Bridge 530 dargestellt (nur ein Teil der Bridge ist gezeigt), wie zu erkennen ist. Bei Ausführungsformen kann die Bridge 530 ein Bridge-Substrat beinhalten, das aus einem Halbleitermaterial besteht, wie beispielsweise Silizium (Si) mit hohem Widerstand, auf dem Verbindungsmerkmale zum elektrischen Routen gebildet sind, um eine Chip-zu-Chip-Verbindung zwischen Dies bereitzustellen. Bei Ausführungsformen kann die Bridge 530 mit Hilfe einer Haftschicht 520 auf die strukturierte Metallschicht 310 montiert sein. Das Material der Haftschicht 520 kann jeden geeigneten Haftstoff beinhalten, der dafür konfiguriert ist, Prozessen zu widerstehen, die mit der Herstellung des Substrats verbunden sind. Bei Ausführungsformen können chemische Behandlungen, wie beispielsweise eine Kupferaufrautechnik, Anwendung finden, um das Anhaften zwischen der Bridge 530 und ihren umgebenden Oberflächen zu verbessern. Bei Ausführungsformen kann die Bridge 530 Routing-Merkmale 540 aufweisen, wie beispielsweise Pads, die über die Oberfläche des Bridge-Substrats hinausragen und als Verbindungspunkte zum Routen elektrischer Signale zur und von der Bridge 530 konfiguriert sind.
  • Bezüglich des Vorganges 596 ist das Substrat nach dem Bilden der dielektrischen Schicht 550 über der Bridge 530 dargestellt, womit im Wesentlichen die N-1-Schicht auf der N-2-Schicht gebildet wird, wie zu erkennen ist. Bei Ausführungsformen kann die dielektrische Schicht 550 aus jedem einer breiten Vielfalt von geeigneten dielektrischen Materialien bestehen. Bei Ausführungsformen kann die dielektrische Schicht 550 durch Abscheiden eines dielektrischen Materials mit Hilfe jeder geeigneten Technik gebildet werden, einschließlich zum Beispiel Atomlagenabscheidung (ALD), physikalischer Gasphasenabscheidung (PVD) oder chemischer Gasphasenabscheidung (CVD). Bei Ausführungsformen kann die dielektrische Schicht 320 ein Polymer (z. B. auf Epoxid basierendes Harz) und ferner einen Füllstoff (z. B. Silica) beinhalten, um geeignete mechanische Eigenschaften bereitzustellen, die Verlässlichkeitsanforderungen des Packages erfüllen. Bei Ausführungsformen kann die dielektrische Schicht 320 als Polymerfolie gebildet sein, beispielsweise durch ABF-Laminierung. Die dielektrische Schicht 550 kann eine geeignete Ablationsrate aufweisen, um das Strukturieren durch Lasern zu ermöglichen, wie im Vorliegenden an anderer Stelle beschrieben.
  • 6 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge zum Bilden einer geschichteten Verbindungsstruktur (z. B. der Verbindungsstruktur 130 von 1) im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess gemäß einigen Ausführungsformen dar.
  • Bezüglich des Vorganges 692 ist das Substrat nach dem Bilden von Hohlräumen 604 auf der dielektrischen Schicht 550 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann ein Hohlraum eine Durchkontaktierungsöffnung sein, die mittels Laser in die dielektrische Schicht 550 gebohrt ist, um einen Abschnitt der darunterliegenden Routing-Merkmale 540 freizulegen. Um die Hohlräume 604 zu bilden, kann jede herkömmliche Technik verwendet werden, wie beispielsweise CO2-Laser. Bei Ausführungsformen kann nachfolgend ein Desmear-Prozess ausgeführt werden, um verschmiertes dielektrisches Material, wie beispielsweise Epoxidharz, von der Bodenfläche des Hohlraumes 604 zu entfernen, um zu verhindern, dass Schmierrückstände eine andere dielektrische Schicht bilden. Bei Ausführungsformen wird dann mittels einer geeigneten Technik eine metallische Keimschicht 610 auf der Oberseite der N-1-Schicht abgeschieden. Bei einigen Ausführungsformen kann stromloses Abscheiden verwendet werden, um die metallische Keimschicht 610 zu bilden. Zum Beispiel kann ein Katalysator, wie beispielsweise Palladium (Pd) abgeschieden werden, gefolgt von einem stromlosen Kupfer-(Cu)-Abscheideverfahren. Bei einigen Ausführungsformen kann eine physikalische Dampfabscheidetechnik (d. h. Sputtern) verwendet werden, um die metallische Keimschicht 330 abzuscheiden.
  • Bezüglich des Vorganges 694 ist das Substrat nach dem Bilden einer lichtempfindlichen Schicht dargestellt, wie zum Beispiel einer Trockenfilmresist-(DFR)-Schicht 612, womit im Wesentlichen die N-Schicht auf der N-1-Schicht gebildet wird, wie zu erkennen ist. Bei Ausführungsformen kann die DFR-Schicht 612 unter Anwendung einer beliebigen auf dem Fachgebiet bekannten Technik laminiert und strukturiert werden. Bei Ausführungsformen kann die Öffnung 614 in der DFR-Schicht 612 größere Querabmessungen aufweisen als der Hohlraum 604. Bei Ausführungsformen kann der Vorgang 694 sowohl auf der Ober- als auch auf der Unterseite (z. B. Seite S1 und S2 von 1) des Substrats ausgeführt werden.
  • Bezüglich des Vorganges 696 ist das Substrat nach dem Abscheiden eines leitenden Materials in den Hohlraum 604 und die Öffnung 614 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann das leitende Material das erste elektrisch leitende Material wie oben beschrieben beinhalten, wie beispielsweise Metall, einschließlich zum Beispiel Nickel (Ni), Palladium (Pd), Gold (Au), Silber (Ag), Kupfer (Cu) und Kombinationen daraus. Bei Ausführungsformen können der Hohlraum 604 und die Öffnung 614 zum Beispiel mittels eines Galvanisierungsverfahrens gefüllt werden. Bei Ausführungsformen kann ein Kupfergalvanisierungsverfahren ausgeführt werden, um den Hohlraum 604 und die Öffnung 614 zu füllen und ein Verbindungsstück 620 zu bilden. Bei Vorgang 696 kann durch Ätzen, Glanzschleifen und/oder chemisch-mechanisches Polieren usw. im Übermaß galvanisiertes Füllmetall entfernt werden, um das Verbindungsstück 620 zu glätten. Zum Beispiel kann chemisches, mechanisches Polieren (CMP) oder Glanzschleifen verwendet werden, um das Verbindungsstück 620 zuerst zu glätten, und dann kann Ätzen verwendet werden, um jegliches verbleibendes Füllmetall von der Oberfläche der DFR-Schicht 612 zu entfernen. Bei Ausführungsformen kann die Verbindungsstruktur oder das Verbindungsstück 620, die in Vorgang 696 gebildet wurde, über die Oberfläche der N-1-Schicht hinausragen (z. B. bei der Bildung einer Pad-Struktur) und kann dafür konfiguriert sein, die Bridge 530 mit Dies zu koppeln.
  • Bei Ausführungsformen können durch die Vorgänge 692, 694 und 696 zum Teil andere geschichtete FLI-Verbindungsstrukturen (z. B. Verbindungsstruktur 135 von 1) gebildet werden.
  • 7 stellt schematisch Querschnittsseitenansichten einiger weiterer ausgewählter Vorgänge zum Bilden der geschichteten Verbindungsstruktur im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess gemäß einigen Ausführungsformen dar. Bezüglich des Vorganges 792 ist das Substrat nach dem Bilden einer Barriereschicht 710 direkt auf dem Verbindungsstück dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann die Barriereschicht 710 das zweite elektrisch leitende Material beinhalten, wie beispielsweise ein Barrieremetall, und sie kann aufgebracht werden, um das Verbindungsstück abzudecken. Die Barriereschicht 710 kann dafür konfiguriert sein, eine Diffusion des in dem Verbindungsstück verwendeten ersten leitenden Materials in umgebende Materialien zu unterbinden, während eine elektrische Verbindung zwischen dem Verbindungsstück und einem Die aufrechterhalten wird. Das zweite leitende Material kann sich vom ersten leitenden Material unterscheiden. Das zweite leitende Material kann zum Beispiel Nickel (Ni), Tantal (Ta), Tantalnitrid (TaN), Titannitrid (TiN), Titanwolfram (TiW), Hafnium (Hf), Niob (Nb), Zirkonium (Zr), Vanadium ((V) oder Wolfram (W) und Kombinationen daraus beinhalten. Bei einigen Ausführungsformen kann das zweite elektrisch leitende Material leitende Keramiken beinhalten, wie beispielsweise Tantalnitrid, Indiumoxid, Kupfersilizid, Wolframnitrid und Titannitrid. Die Barriereschicht 710 kann bei einigen Ausführungsformen aus mehreren Schichten aus verschiedenen Materialien bestehen. Bei Ausführungsformen kann der Vorgang 792 das Aufbringen eines Schutzfilms auf der Rückseite des Substrats beinhalten.
  • Die Barriereschicht 710 kann mit Hilfe jeder geeigneten Abscheidetechnik abgeschieden werden. Bei einigen Ausführungsformen können ein oder mehrere Barrieremateralien der Barriereschicht 710 mit Hilfe der PVD-Technik abgeschieden werden. Die Barriereschicht 710 kann bei anderen Ausführungsformen mit Hilfe anderer geeigneter Abscheidetechniken gebildet werden.
  • Bezüglich Vorgang 794 ist das Substrat nach dem Bilden einer Lotschicht 720 direkt auf der Barriereschicht dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann die Lotschicht 720 das dritte elektrisch leitende Material beinhalten, wie beispielsweise eine schmelzbare Metalllegierung, und sie kann auf die Barriereschicht 710 aufgebracht werden. Bei Ausführungsformen kann sich das dritte leitende Material vom ersten und zweiten leitenden Material unterscheiden. Das dritte elektrisch leitende Material kann zum Beispiel Zinn (Sn), Silber (Ag), Nickel (Ni), Zink (Zn) und Kombinationen daraus beinhalten. Bei Ausführungsformen kann die Lotschicht 720 verwendet werden, um die darunterliegende Struktur mit einem Die zu verbinden und eine elektrische Verbindung zwischen der darunterliegenden Struktur und dem Die aufrechtzuerhalten. Bei Ausführungsformen können das Verbindungsstück 620, die Barriereschicht 710 und die Lotschicht 720 zusammen eine Verbindungsstruktur zum Routen elektrischer Signale zwischen der Bridge 530 und einem oder mehreren Dies bilden, wie beispielsweise dem Die 110 und 120 in Verbindung mit 1.
  • Bezüglich des Vorganges 796 ist das Substrat nach dem Ablösen der DFR-Schicht 612 dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann die DFR-Schicht 612 unter Anwendung eines beliebigen herkömmlichen Ablöseprozesses entfernt werden. Bei Ausführungsformen können Abschnitte der metallischen Keimschicht 610 zum Beispiel durch Ätzen entfernt werden, so dass die Verbindungsstruktur weiter herausgearbeitet wird. Bei einigen Ausführungsformen können die Ätzprozesse das Nassätzen der metallischen Keimschicht 610 beinhalten. Bei anderen Ausführungsformen können andere geeignete Ätztechniken oder -chemikalien verwendet werden. Bei Ausführungsformen kann auch der Schutzfilm auf der Rückseite des Substrats entfernt werden.
  • Bei Ausführungsformen können durch die Vorgänge 792, 794 und 796 teilweise weitere geschichtete FLI-Verbindungsstrukturen (z. B. Verbindungsstruktur 135 von 1) gebildet werden.
  • 8 stellt schematisch Querschnittsseitenansichten einiger ausgewählter Vorgänge zum Vollenden einer geschichteten Verbindungsstruktur im Zusammenhang mit dem in 2 dargestellten Package-Substrat-Herstellungsprozess gemäß einigen Ausführungsformen dar. Bezüglich des Vorganges 892 ist das Substrat nach dem Freilegen der Bumpbereiche auf einer Oberseite (z. B. Seite S1 von 1) dargestellt. Bei Ausführungsformen kann auf die dielektrische Schicht 550 ein Lötresist (SR) abgeschieden werden. Bei Ausführungsformen kann die SR-Schicht in Nicht-Bump-Bereichen strukturiert sein, um Traces oder andere elektrische Routing-Merkmale abzudecken und außerdem einen Bezugs-Pad für die Montage zu bilden, zum Beispiel Pad 802. Nachfolgend kann an einer Oberseite (z. B. Seite S1 von 1) des Substrats die SR-Schicht im Bumpbereich mit Techniken wie beispielsweise einer SR-Freilegung oder einer SR-Entwicklung entfernt werden. Bei anderen Ausführungsformen kann die SR-Schicht mit Hilfe einer beliebigen geeigneten Technik vom Bumpbereich entfernt werden, einschließlich zum Beispiel Strukturierungstechniken, wie beispielsweise Ätzen und/oder Lithografie. Bei Ausführungsformen kann der Vorgang 892 zusätzlich SR-Laminierung und Bildung von Lötresistöffnungen (SROs) auf der Unterseite (z. B. Seite S2 von 1) des Substrats (nicht dargestellt) beinhalten.
  • Bezüglich des Vorganges 894 ist das Substrat nach dem Bilden des Schutzfilms 804 dargestellt, wie zu erkennen ist. Der Schutzfilm 804 kann Komponenten auf der Oberseite (z. B. Seite S1 von 1) des Substrats während der Bearbeitung der Rückseite (z. B. Seite S2 von 1) des Substrats schützen. Bei Ausführungsformen kann der Schutzfilm 804 mit einer beliebigen geeigneten Technik, wie zum Beispiel einer wie beispielsweise einer Dünnfilmbeschichtungstechnik, gebildet werden. Bei Ausführungsformen kann eine Leiteroberflächenveredelung (SF) aus Nickel-Palladium-Gold (NiPdAu) auf die Rückseite des Substrats (nicht dargestellt) aufgebracht werden, während der Schutzfilm 804 auf die Oberseite des Substrats aufgebracht wird.
  • Bezüglich des Vorganges 896 ist das Substrat nach dem Bilden einer runden Bumpoberseite der Verbindungsstruktur dargestellt, wie zu erkennen ist. Bei Ausführungsformen kann zuerst der Schutzfilm 804 entfernt werden und dann kann die Lotschicht 720 mit Hilfe eines thermischen Prozesses zum Erhöhen einer Temperatur der Lotschicht über eine Aufschmelztemperatur des Lötmaterials zu einer runden Form aufgeschmolzen (Reflow) werden.
  • Bei Ausführungsformen können durch die Vorgänge 892, 894 und 896 teilweise weitere geschichtete FLI-Verbindungsstrukturen (z. B. Verbindungsstruktur 135 von 1) gebildet werden.
  • 9 stellt schematisch ein Ablaufdiagramm eines Montageprozesses 900 gemäß einigen Ausführungsformen dar, der ein Package-Substrat mit eingebetteten Bridge-Verbindungen verwendet. Ein derartiges Package-Substrat kann durch die in Bezug auf 2 bis 8 oben beschriebene veranschaulichenden Prozesses erzeugt werden.
  • Der Montageprozess 900 beginnt mit Vorgang 910 mit dem Empfangen eines Package-Substrats, das eine eingebettete Bridge mit geschichteten Verbindungsstrukturen (z. B. Verbindungsstruktur 130 von 1) aufweist. Das in 8 dargestellte Package-Substrat kann im Montageprozess 900 verwendet werden.
  • In Vorgang 920 kann ein IC-Chip mit Chip-I/O-Verbindungspunkten (z. B. Pads, Bump oder Säulen) empfangen werden. Obwohl der IC-Chip im Allgemeinen von jeder herkömmlichen Art sein kann, kann der IC-Chip bei einigen Ausführungsformen ein Prozessor mit einer großen I/O-Anzahl sein, wie beispielsweise ein Mikroprozessor. Bei einigen Ausführungsformen kann Lötmetall auf die Chip-I/O-Verbindungspunkte aufgebracht werden.
  • In Vorgang 930 kann der IC-Chip derart am Package-Substrat ausgerichtet werden, dass die gelöteten Chip-I/O-Verbindungspunkte an den geschichteten Verbindungsstrukturen ausgerichtet sind. Lötfähiges Material der geschichteten Verbindungsstrukturen und/oder Lot auf den Chip-I/O-Verbindungspunkten wird dann in Vorgang 940 aufgeschmolzen, um den IC-Chip an den geschichteten Verbindungsstrukturen zu befestigen. Um das Häusen bei 950 abzuschließen, können zusätzliche Vorgänge durchgeführt werden. Bei einigen Ausführungsformen kann zum Beispiel ein elektrisch isolierendes Material abgeschieden werden, um den IC-Chip einzukapseln oder teilweise einzukapseln, und/oder das Package-Substrat kann des Weiteren mit einer Platine gekoppelt werden.
  • Ausführungsformen der vorliegenden Erfindung können mit Hilfe jeder geeigneten Hardware und/oder Software zum wunschgemäßen Konfigurieren in einem System umgesetzt werden. 10 stellt schematisch ein EDV-Gerät gemäß einigen Ausführungsformen dar, das eingebettete Bridge-Verbindungen mit geschichteten Verbindungsstrukturen in einem Substrat wie hier beschrieben beinhaltet. Das EDV-Gerät 1000 kann eine Platine, wie beispielsweise ein Motherboard 1002, beherbergen. Das Motherboard 1002 kann eine Anzahl von Komponenten beinhalten, einschließlich insbesondere eines Prozessors 1004 und mindestens eines Kommunikationschips 1006. Der Prozessor 1004 kann physisch und elektrisch mit dem Motherboard 1002 gekoppelt sein. Bei einigen Umsetzungen kann auch der mindestens eine Kommunikationschip 1006 physisch und elektrisch mit dem Motherboard 1002 gekoppelt sein. Bei weiteren Umsetzungen kann der Kommunikationschip 1006 Teil des Prozessors 1004 sein.
  • Je nach seiner Verwendung kann das EDV-Gerät 1000 weitere Komponenten beinhalten, die physisch oder elektrisch mit dem Motherboard 1002 gekoppelt sein können oder nicht. Diese weiteren Komponenten können insbesondere einen flüchtigen Speicher (z. B. DRAM), einen nichtflüchtigen Speicher (z. B. ROM), einen Flash-Speicher, einen Grafikprozessor, einen Digitalsignalprozessor, einen Kryptoprozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, einen Touchscreen-Controller, eine Batterie, einen Audio-Codec, einen Video-Codec, einen Leistungsverstärker, ein GPS-Gerät, einen Kompass, einen Geigerzähler, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und ein Massenspeichergerät (wie beispielsweise eine Festplatte, eine CD, eine DVD usw.) beinhalten.
  • Der Kommunikationschip 1006 kann die drahtlose Kommunikation für die Übertragung von Daten zu und vom EDV-Gerät 1000 ermöglichen. Der Begriff „drahtlos“ und seine Ableitungen kann verwendet sein, um Schaltungen, Geräte, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die mit Hilfe von modulierter elektromagnetischer Strahlung Daten über ein nicht festes Medium kommunizieren können. Der Begriff besagt nicht, dass die dazugehörigen Geräte nicht auch Drähte enthalten können, wenngleich sie dies bei einigen Ausführungsformen möglicherweise nicht tun. Der Kommunikationschip 1006 kann jeden bzw. jedes einer Anzahl von Drahtlos-Standards oder -Protokollen umsetzen, insbesondere die Standards des Institute for Electrical and Electronic Engineers (IEEE) einschließlich Wi-Fi (IEEE-802.11-Familie), IEEE-802.16-Standards (z. B. IEEE802.16-2005 Ergänzung), Long-Term Evolution (LTE) zusammen mit etwaigen Ergänzungen, Aktualisierungen und/oder Überarbeitungen (z. B. LTE-Advanced, Ultra Mobile Broadband (UMB) (auch bezeichnet als „3GPP2“) usw.). Mit IEEE 802.16 kompatible BWA-Netzwerke werden im Allgemeinen als WiMAX-Netzwerke bezeichnet, ein Acronym, das für Worldwide Interoperability for Microwave Access steht, das ein Zertifizierungskennzeichen für Produkte ist, die Konformitäts- und Interoperabilitätsprüfungen für die IEEE-802.16-Standards bestanden haben. Der Kommunikationschip 1006 kann in Übereinstimmung mit einem GSM- (Global System for Mobile Communication), einem GPRS- (General Packet Radio Service), einem UMTS- (Universal Mobile Telecommunication System), einem HSPA- (High Speed Packet Access), einem E-HSPA-(weiterentwickelten HSPA) oder einem LTE-Netzwerk arbeiten. Der Kommunikationschip 1006 kann in Übereinstimmung mit EDGE (Enhanced Data for GSM Evolution), GERAN (GSM EDGE Radio Access Network, UTRAN (Universal Terrestrial Radio Access Network) oder E-UTRAN (weiterentwickeltes UTRAN) arbeiten. Der Kommunikationschip 1006 kann in Übereinstimmung mit CDMA (Code Division Multiple Access), TDMA (Time Division Multiple Access), DECT (Digital Enhanced Cordless Telecommunications), EV-DO (Evolution-Data Optimized), Ableitungen davon sowie jedem anderen Drahtlos-Protokoll, das als 3G, 4G, 5G und darüber bezeichnet wird, arbeiten. Der Kommunikationschip 1006 kann bei anderen Ausführungsformen in Übereinstimmung mit anderen Drahtlos-Protokollen arbeiten.
  • Das EDV-Gerät 1000 kann mehrere Kommunikationschips 1006 beinhalten. Zum Beispiel kann ein erster Kommunikationschip 1006 der drahtlosen Kommunikation im Nahbereich zugewiesen sein, wie beispielsweise Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip 1006 kann der drahtlosen Kommunikation mit größerer Reichweite zugewiesen sein, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO und anderen.
  • Der Prozessor 1004 des EDV-Gerätes 1000 kann in eine IC-Anordnung (z. B. IC-Anordnung 100 von 1) gehäust sein, die ein Substrat beinhaltet (z. B. das Package-Substrat 150 von 1), das eingebettete Bridges mit geschichteten Verbindungsstrukturen wie hier beschrieben beinhaltet. Zum Beispiel kann die Platine 190 von 1 das Motherboard 1002 sein und der Prozessor 1004 kann der Die 110 sein, der mit Hilfe der Verbindungsstruktur 130 von 1 an das Package-Substrat 150 gekoppelt ist. Package-Substrat 150 und Motherboard 1002 können mit Hilfe von Packageebenenverbindungen miteinander gekoppelt sein. Der Begriff „Prozessor“ kann jedes Gerät oder jeden Abschnitt eines Gerätes bezeichnen, das bzw. der elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, die in Registern und/oder einem Speicher gespeichert werden können.
  • Der Kommunikationschip 1006 kann außerdem einen Die (z. B. Die 120 von 1) beinhalten, der in eine IC-Anordnung (z. B. IC-Anordnung 100 von 1) gehäust sein kann, die ein Substrat (z. B. Package-Substrat 150 von 1) beinhaltet, das eingebettete Bridges mit geschichteten Verbindungsstrukturen wie hier beschrieben aufweist. Bei weiteren Umsetzungen kann eine andere, im EDV-Gerät 1000 untergebrachte Komponente (z. B. ein Speichergerät oder eine anderes Gerät mit integrierter Schaltung) einen Die (z. B. Die 110 von 1) beinhalten, der in eine IC-Anordnung (z. B. IC-Anordnung 100 von 1) gehäust sein kann, die ein Substrat (z. B. Package-Substrat 150 von 1) beinhaltet, das eingebettete Bridges mit geschichteten Verbindungsstrukturen wie hier beschrieben aufweist. Gemäß einigen Ausführungsformen können auf ein und demselben Package-Substrat mehrere Prozessorchips und/oder Speicherchips angeordnet sein, und die eingebetteten Bridges mit geschichteten Verbindungsstrukturen können elektrisch Signale zwischen jeweils zwei beliebigen der Prozessor- oder Speicherchips routen. Bei einigen Ausführungsformen kann ein einzelner Prozessorchip mit Hilfe einer ersten eingebetteten Bridge mit einem anderen Prozessorchip gekoppelt sein und mit Hilfe einer zweiten eingebetteten Brücke mit einem Speicherchip.
  • Bei verschiedenen Umsetzungen kann das EDV-Gerät 1000 ein Laptop, ein Netbook, ein Notebook, ein Ultrabook®, ein Smartphone, ein Tablet, ein PDA, ein Ultra-Mobile PC, ein Mobiltelefon, ein PC, ein Server, ein Drucker, ein Scanner, ein Monitor, ein Beistellgerät (Set-Top Box), eine Steuereinheit für Heimunterhaltungsgeräte, eine Digitalkamera, ein transportables Musikabspielgerät oder ein digitaler Videorekorder sein. Bei weiteren Umsetzungen kann das EDV-Gerät 1000 jedes andere elektronische Gerät sein, das Daten verarbeitet.
  • Beispiele
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung eine Vorrichtung oder Anordnung integrierter Schaltungen, die ein Substrat beinhalten kann, eine in das Substrat eingebettete Bridge, wobei die Bridge dafür konfiguriert ist, elektrische Signale zwischen einem ersten Die und einem zweiten Die zu routen, und eine Verbindungsstruktur, die elektrisch mit der Bridge gekoppelt ist. Die Verbindungsstruktur kann eine Durchkontaktierungsstruktur beinhalten, die ein erstes leitendes Material beinhaltet, wobei die Durchkontaktierungsstruktur dafür angeordnet ist, die elektrischen Signale durch mindestens einen Abschnitt des Substrats hindurch zu routen, eine Barriereschicht, die ein zweites leitendes Material beinhaltet und auf der Durchkontaktierungsstruktur angeordnet ist, sowie ein lötbares Material, das ein drittes leitendes Material beinhaltet und auf der Barriereschicht angeordnet ist. Das erste leitende Material, das zweite leitende Material und das dritte leitende Material können unterschiedliche chemische Zusammensetzungen aufweisen.
  • Bei Ausführungsformen kann die Bridge ferner ein Pad beinhalten. Das erste leitende Material kann in direktem Kontakt mit dem Pad stehen.
  • Bei Ausführungsformen kann die Durchkontaktierungsstruktur über eine Oberfläche einer äußersten Aufbauschicht des Substrats hinausragen.
  • Bei Ausführungsformen kann die Barriereschicht eine Oberfläche der Durchkontaktierungsstruktur bedecken, um eine Diffusion des ersten leitenden Materials durch die Barriereschicht zu unterbinden.
  • Bei Ausführungsformen kann der erste Die einen Prozessor beinhalten und der zweite Die kann einen Speicher-Die oder einen anderen Prozessor beinhalten.
  • Bei Ausführungsformen können die elektrischen Signale Eingangs-/Ausgangssignale (I/O-Signale) sein.
  • Bei Ausführungsformen kann die Bridge ein Halbleitermaterial beinhalten, das Silizium (Si) beinhaltet, und ein Substrat, das ein auf Epoxid basierendes dielektrisches Material beinhalten kann.
  • Bei Ausführungsformen kann die Bridge unter Verwendung von ABF-Laminierung in das Substrat eingebettet werden.
  • Bei Ausführungsformen kann das erste leitende Material Kupfer (Cu) beinhalten, das zweite leitende Material kann Nickel (Ni) beinhalten und das dritte leitende Material kann Zinn (Sn) beinhalten.
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung die Herstellung eines Package-Substrats einer Anordnung integrierter Schaltungen. Bei einigen Ausführungsformen beinhaltet das Verfahren das Einbetten einer Bridge in ein Substrat, das Bilden eines Verbindungsstücks, die ein erstes leitendes Material beinhaltet und mit der Bridge verbunden ist, um elektrische Signale über eine Oberfläche des Substrats hinaus zu routen, das Bilden einer Barriereschicht, die ein zweites leitendes Material beinhaltet, direkt auf dem Verbindungsstück und das Bilden einer Lotschicht, die ein drittes leitendes Material beinhaltet, direkt auf der Barriereschicht. Die Barriereschicht und die Lotschicht können dafür konfiguriert werden, die elektrischen Signale zu routen.
  • Bei Ausführungsformen kann das Einbetten der Bridge in das Substrat ferner das Bilden eines Bridge-Hohlraumes, das Anordnen der Bridge im Bridge-Hohlraum und das Laminieren eines dielektrischen Materials über die Bridge beinhalten.
  • Bei Ausführungsformen kann das Bilden des Verbindungsstücks ferner das Bilden eines Durchkontaktierungshohlraumes im Substrat, das Bilden einer Öffnung in einem lichtempfindlichen Material über dem Durchkontaktierungshohlraum und das Abscheiden des ersten leitenden Materials in den Durchkontaktierungshohlrum und die Öffnung mit Hilfe eines Abscheidungsprozesses beinhalten.
  • Bei Ausführungsformen kann das Bilden der Barriereschicht das Abscheiden des zweiten leitenden Materials auf das Verbindungsstück beinhalten.
  • Bei Ausführungsformen kann das Bilden der Lotschicht das Abscheiden des dritten leitenden Materials auf der Barriereschicht beinhalten.
  • Bei Ausführungsformen kann das Verfahren ferner das Aufschmelzen der Lotschicht beinhalten, um einen runden Bump zu bilden.
  • Bei Ausführungsformen kann das erste leitende Material Kupfer (Cu) beinhalten, das zweite leitende Material kann Nickel (Ni) beinhalten und das dritte leitende Material kann Zinn (Sn) beinhalten.
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung ein Speichermedium, das mehrere Befehle aufweist, die dafür konfiguriert sind, zu bewirken, dass ein Gerät in Reaktion auf das Ausführen der Befehle durch das Gerät eines der zuvor beschriebenen Verfahren realisiert.
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung eine Vorrichtung zur Bridge-Verbindung, die Mittel zum Realisieren eines der zuvor beschriebenen Verfahren aufweist.
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung ein Produkt, das durch eines der zuvor beschriebenen Verfahren gefertigt wurde.
  • Gemäß verschiedenen Ausführungsformen beschreibt die vorliegende Offenbarung ein System oder ein EDV-Gerät, das einen ersten Die und einen zweiten Die sowie ein Substrat mit einer eingebetteten Bridge und einer Verbindungsstruktur beinhaltet. Die Bridge und die Verbindungsstruktur können dafür konfiguriert sein, elektrische Signale zwischen dem ersten Die und dem zweiten Die zu routen.
  • Die Verbindungsstruktur kann eine Durchkontaktierungsstruktur beinhalten, die ein erstes leitendes Material beinhaltet, wobei die Durchkontaktierungsstruktur dafür angeordnet ist, die elektrischen Signale durch mindestens einen Abschnitt des Substrats hindurch zu routen, eine Barriereschicht, die ein zweites leitendes Material beinhaltet und auf der Durchkontaktierungsstruktur angeordnet ist, sowie ein lötbares Material, das ein drittes leitendes Material beinhaltet und auf der Barriereschicht angeordnet ist. Das erste leitende Material, das zweite leitende Material und das dritte leitende Material können unterschiedliche chemische Zusammensetzungen aufweisen.
  • Bei Ausführungsformen kann das erste leitende Material Kupfer (Cu) beinhalten, das zweite leitende Material kann Nickel (Ni) beinhalten und das dritte leitende Material kann Zinn (Sn) beinhalten.
  • Bei Ausführungsformen kann die Bridge ein Halbleitermaterial beinhalten, wobei das Halbleitermaterial Silizium (Si) beinhaltet. Das Substrat kann ein dielektrisches Material beinhalten.
  • Bei Ausführungsformen kann der erste Die einen Prozessor beinhalten und der zweite Die kann einen Speicher-Die oder einen anderen Prozessor beinhalten.
  • Bei Ausführungsformen kann der erste Die einen Speicher-Die beinhalten und der zweite Die kann einen anderen Speicher-Die oder einen Prozessor beinhalten.
  • Bei einigen Ausführungsformen kann das System oder das EDV-Gerät ferner eine Platine beinhalten. Die Platine kann dafür konfiguriert sein, die elektrischen Signale des Dies und eines oder mehrerer der Folgenden zu routen: einer Antenne, einer Anzeige, einer Touchscreen-Anzeige, eines Touchscreen-Controllers, einer Batterie, eines Audio-Codec, eines Video-Codec, eines Leistungsverstärkers, eines GPS-Gerätes, eines Kompasses, eines Geigerzählers, eines Beschleunigungsmessers, eines Gyroskops, eines Lautsprechers oder einer Kamera, die mit der Platine gekoppelt sind. Bei einigen Ausführungsformen ist das System oder das EDV-Gerät eines der Folgenden: ein am Körper zu tragender Computer, ein Smartphone, ein Tablet, ein PDA, ein Mobiltelefon, ein Ultra-Mobile PC, ein Ultrabook®, ein Netbook, ein Notebook, ein Laptop, ein PC, ein Server, ein Drucker, ein Scanner, ein Monitor, ein Beistellgerät (Set-Top Box), eine Steuereinheit für Heimunterhaltungsgeräte, eine Digitalkamera, ein transportables Musikabspielgerät oder ein digitaler Videorekorder.
  • Verschiedene Ausführungsformen können jede geeignete Kombination der oben beschriebenen Ausführungsformen beinhalten, einschließlich alternativer Ausführungsformen (oder) von Ausführungsformen, die oben in Möglichkeitsform (und) beschrieben wurden (z. B. kann „und“ „und/oder“ sein). Des Weiteren können einige Ausführungsformen einen oder mehrere Herstellungsgegenstände beinhalten (z. B. nichtflüchtige, computerlesbare Medien), die auf ihnen gespeicherte Befehle aufweisen, die bei Ausführung zu Aktionen einer der oben beschriebenen Ausführungsformen führen. Darüber hinaus können einige Ausführungsformen Vorrichtungen oder Systeme beinhalten, die beliebige geeignete Mittel zum Ausführen der verschiedenen Vorgänge der oben beschriebenen Ausführungsformen aufweisen.
  • Die vorangegangene Beschreibung dargestellter Umsetzungen, einschließlich dessen, was in der Zusammenfassung beschrieben ist, sollen die Ausführungsformen der vorliegenden Offenbarung nicht erschöpfend darstellen oder auf die bestimmten offenbarten Formen beschränken.

Claims (7)

  1. IC-Anordnung (100) umfassend: ein Package-Substrat (150) mit einem Hohlraum; eine in dem Hohlraum des Package-Substrats angeordnete Bridge (140), wobei die Bridge (140) ein Siliziumsubstrat aufweist; eine dielektrische Schicht (550) über der Bridge (140); ein erstes Verbindungsstück (620), das über der Bridge (140) angeordnet und elektrisch mit der Bridge (140) gekoppelt ist, wobei das erste Verbindungsstück (620) in und über die dielektrische Schicht (550) erstreckend angeordnet ist, wobei das erste Verbindungsstück (620) Kupfer aufweist; eine erste Schicht (710) auf dem ersten Verbindungsstück (620), wobei die erste Schicht (710) Nickel aufweist; ein zweites Verbindungsstück, das über der Bridge (140) angeordnet und elektrisch mit der Bridge (140) gekoppelt ist, wobei das zweite Verbindungsstück in und über die dielektrische Schicht (550) erstreckend angeordnet ist, wobei das zweite Verbindungsstück Kupfer aufweist; eine zweite Schicht auf dem zweiten Verbindungsstück, wobei die zweite Schicht Nickel aufweist; eine erste Verbindungsstruktur (135, 137), die in dem Package-Substrat (150) angeordnet ist, wobei die erste Verbindungsstruktur (135, 137) von einer ersten Seite der Bridge (140) lateral beabstandet ist, wobei sich die erste Verbindungsstruktur (135, 137) durch die dielektrische Schicht (550) erstreckt; eine zweite Verbindungsstruktur, die in dem Package-Substrat (150) angeordnet ist, wobei die zweite Verbindungsstruktur von einer zweiten Seite der Bridge (140) lateral beabstandet ist, wobei sich die zweite Verbindungsstruktur durch die dielektrische Schicht (550) erstreckt; ein erster Die (110), der elektrisch mit dem ersten Verbindungsstück und der ersten Verbindungsstruktur gekoppelt ist; und ein zweiter Die (120), der elektrisch mit dem zweiten Verbindungsstück und der zweiten Verbindungsstruktur gekoppelt ist.
  2. IC-Anordnung nach Anspruch 1, wobei der erste Die (110) ein Prozessor ist und der zweite Die (120) ein Speicher ist.
  3. IC-Anordnung nach Anspruch 1, wobei der erste Die (110) eine anwendungsspezifische integrierte Schaltung ist und der zweite Die (120) ein Speicher ist.
  4. IC-Anordnung nach einem der Ansprüche 1 bis 3, wobei die dielektrische Schicht (550) in Kontakt mit der Bridge (140) ist.
  5. IC-Anordnung nach einem der Ansprüche 1 bis 4, wobei der erste Die (110) mit einem teilweisen lateralen Überlapp über der Bridge (140) angeordnet ist, wobei der zweite Die (120) mit einem teilweisen lateralen Überlapp über der Bridge (140) angeordnet ist.
  6. IC-Anordnung nach einem der Ansprüche 1 bis 5, wobei die Bridge (140) in dem Hohlraum des Package-Substrats (150) eingebettet ist.
  7. IC-Anordnung nach einem der Ansprüche 1 bis 5, wobei die erste Schicht (710) und die zweite Schicht gleichzeitig herstellbar sind.
DE102014019978.9A 2013-05-28 2014-05-28 Bridge-verbindung mit geschichteten verbindungsstrukturen Active DE102014019978B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/903,828 US9147663B2 (en) 2013-05-28 2013-05-28 Bridge interconnection with layered interconnect structures
US13/903,828 2013-05-28

Publications (1)

Publication Number Publication Date
DE102014019978B3 true DE102014019978B3 (de) 2023-01-12

Family

ID=51984224

Family Applications (3)

Application Number Title Priority Date Filing Date
DE102014019890.1A Active DE102014019890B3 (de) 2013-05-28 2014-05-28 Bridge-Verbindung mit geschichteten Verbindungsstrukturen
DE102014019978.9A Active DE102014019978B3 (de) 2013-05-28 2014-05-28 Bridge-verbindung mit geschichteten verbindungsstrukturen
DE102014107514.5A Active DE102014107514B4 (de) 2013-05-28 2014-05-28 Bridge-verbindung mit geschichteten verbindungsstrukturen und verfahren zur bridge-verbindung

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE102014019890.1A Active DE102014019890B3 (de) 2013-05-28 2014-05-28 Bridge-Verbindung mit geschichteten Verbindungsstrukturen

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE102014107514.5A Active DE102014107514B4 (de) 2013-05-28 2014-05-28 Bridge-verbindung mit geschichteten verbindungsstrukturen und verfahren zur bridge-verbindung

Country Status (4)

Country Link
US (7) US9147663B2 (de)
KR (2) KR101588312B1 (de)
CN (2) CN108364926B (de)
DE (3) DE102014019890B3 (de)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9200973B2 (en) * 2012-06-28 2015-12-01 Intel Corporation Semiconductor package with air pressure sensor
US9429427B2 (en) 2012-12-19 2016-08-30 Intel Corporation Inductive inertial sensor architecture and fabrication in packaging build-up layers
US9147663B2 (en) 2013-05-28 2015-09-29 Intel Corporation Bridge interconnection with layered interconnect structures
JP2014236187A (ja) * 2013-06-05 2014-12-15 イビデン株式会社 配線板及びその製造方法
JP2014236188A (ja) * 2013-06-05 2014-12-15 イビデン株式会社 配線板及びその製造方法
US20150255411A1 (en) * 2014-03-05 2015-09-10 Omkar G. Karhade Die-to-die bonding and associated package configurations
US9583426B2 (en) 2014-11-05 2017-02-28 Invensas Corporation Multi-layer substrates suitable for interconnection between circuit modules
US9418966B1 (en) * 2015-03-23 2016-08-16 Xilinx, Inc. Semiconductor assembly having bridge module for die-to-die interconnection
US9443824B1 (en) 2015-03-30 2016-09-13 Qualcomm Incorporated Cavity bridge connection for die split architecture
US10074630B2 (en) 2015-04-14 2018-09-11 Amkor Technology, Inc. Semiconductor package with high routing density patch
US9595494B2 (en) 2015-05-04 2017-03-14 Qualcomm Incorporated Semiconductor package with high density die to die connection and method of making the same
US9478508B1 (en) * 2015-06-08 2016-10-25 Raytheon Company Microwave integrated circuit (MMIC) damascene electrical interconnect for microwave energy transmission
US10283492B2 (en) 2015-06-23 2019-05-07 Invensas Corporation Laminated interposers and packages with embedded trace interconnects
US20180212306A1 (en) * 2015-09-25 2018-07-26 Intel Corporation Antennas for platform level wireless interconnects
US10438881B2 (en) * 2015-10-29 2019-10-08 Marvell World Trade Ltd. Packaging arrangements including high density interconnect bridge
US10418329B2 (en) * 2015-12-11 2019-09-17 Intel Corporation Microelectronic structures having multiple microelectronic devices connected with a microelectronic bridge embedded in a microelectronic substrate
US9852994B2 (en) 2015-12-14 2017-12-26 Invensas Corporation Embedded vialess bridges
WO2017105520A1 (en) * 2015-12-18 2017-06-22 Intel Corporation Transmissive composite film for application to the backside of a microelectronic device
US10978423B2 (en) * 2015-12-22 2021-04-13 Intel Corporation Projecting contacts and method for making the same
DE112015007283B3 (de) 2015-12-22 2022-03-31 Intel Corporation Halbleiter-package mit durchgangsbrücken-die-verbindungen
DE112015007213B4 (de) 2015-12-22 2021-08-19 Intel Corporation Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package
US10325855B2 (en) * 2016-03-18 2019-06-18 Qualcomm Incorporated Backside drill embedded die substrate
US10276403B2 (en) * 2016-06-15 2019-04-30 Avago Technologies International Sales Pe. Limited High density redistribution layer (RDL) interconnect bridge using a reconstituted wafer
US20180005944A1 (en) * 2016-07-02 2018-01-04 Intel Corporation Substrate with sub-interconnect layer
KR102632563B1 (ko) * 2016-08-05 2024-02-02 삼성전자주식회사 반도체 패키지
WO2018034654A1 (en) 2016-08-16 2018-02-22 Intel Corporation Rounded metal trace corner for stress reduction
US9837341B1 (en) 2016-09-15 2017-12-05 Intel Corporation Tin-zinc microbump structures
US11004824B2 (en) * 2016-12-22 2021-05-11 Intel Corporation Scalable embedded silicon bridge via pillars in lithographically defined vias, and methods of making same
WO2018182597A1 (en) * 2017-03-29 2018-10-04 Intel Corporation Microelectronic device with embedded die substrate on interposer
US10468374B2 (en) 2017-03-31 2019-11-05 Intel Corporation Die interconnect substrates, a semiconductor device and a method for forming a die interconnect substrate
US10373893B2 (en) * 2017-06-30 2019-08-06 Intel Corporation Embedded bridge with through-silicon vias
US10692830B2 (en) * 2017-10-05 2020-06-23 Texas Instruments Incorporated Multilayers of nickel alloys as diffusion barrier layers
US10651126B2 (en) * 2017-12-08 2020-05-12 Applied Materials, Inc. Methods and apparatus for wafer-level die bridge
US10217708B1 (en) 2017-12-18 2019-02-26 Apple Inc. High bandwidth routing for die to die interposer and on-chip applications
US10163798B1 (en) * 2017-12-22 2018-12-25 Intel Corporation Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same
CN108032764B (zh) * 2018-01-09 2023-09-26 捷星新能源科技(苏州)有限公司 集成式电池控制装置
WO2019139625A1 (en) * 2018-01-12 2019-07-18 Intel Corporation First layer interconnect first on carrier approach for emib patch
KR102419893B1 (ko) 2018-01-15 2022-07-12 삼성전자주식회사 보호 부재를 가지는 인쇄 회로 기판 및 이를 포함하는 반도체 패키지 제조 방법
KR102587976B1 (ko) 2018-02-06 2023-10-12 삼성전자주식회사 반도체 패키지
EP4170712A3 (de) 2018-03-29 2023-07-12 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Elektronische anordnung und elektronisches system mit impedanzangepassten verbindungsstrukturen
MY202246A (en) * 2018-10-22 2024-04-19 Intel Corp Devices and methods for signal integrity protection technique
KR102615197B1 (ko) 2018-11-23 2023-12-18 삼성전자주식회사 반도체 패키지
US11289424B2 (en) * 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
CN111372369B (zh) 2018-12-25 2023-07-07 奥特斯科技(重庆)有限公司 具有部件屏蔽的部件承载件及其制造方法
US11164818B2 (en) * 2019-03-25 2021-11-02 Intel Corporation Inorganic-based embedded-die layers for modular semiconductive devices
US20200411441A1 (en) * 2019-06-27 2020-12-31 Intel Corporation Lithographically defined vertical interconnect access (via) for a bridge die first level interconnect (fli)
US11282716B2 (en) * 2019-11-08 2022-03-22 International Business Machines Corporation Integration structure and planar joining
US11527462B2 (en) 2019-12-13 2022-12-13 International Business Machines Corporation Circuit substrate with mixed pitch wiring
CN111554614B (zh) * 2020-04-30 2022-10-28 通富微电子股份有限公司 一种芯片封装方法
US11302674B2 (en) 2020-05-21 2022-04-12 Xilinx, Inc. Modular stacked silicon package assembly
US11562963B2 (en) * 2020-06-05 2023-01-24 Intel Corporation Stacked semiconductor package and method of forming the same
KR102578888B1 (ko) * 2020-07-22 2023-09-15 주식회사 네패스 반도체 패키지
US20220199575A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Microelectronic structures including bridges
US20220199539A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Microelectronic structures including bridges
US11621217B2 (en) * 2021-01-15 2023-04-04 Advanced Semiconductor Engineering, Inc. Substrate structure and semiconductor package structure
US20230044903A1 (en) * 2021-08-04 2023-02-09 Nxp Usa, Inc. Semiconductor device with rf interposer and method therefor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5081563A (en) 1990-04-27 1992-01-14 International Business Machines Corporation Multi-layer package incorporating a recessed cavity for a semiconductor chip
US5937320A (en) 1998-04-08 1999-08-10 International Business Machines Corporation Barrier layers for electroplated SnPb eutectic solder joints
US7402901B2 (en) 2005-03-16 2008-07-22 Sony Corporation Semiconductor device and method of manufacturing semiconductor device

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5251806A (en) 1990-06-19 1993-10-12 International Business Machines Corporation Method of forming dual height solder interconnections
TWI286372B (en) * 2003-08-13 2007-09-01 Phoenix Prec Technology Corp Semiconductor package substrate with protective metal layer on pads formed thereon and method for fabricating the same
US6984583B2 (en) * 2003-09-16 2006-01-10 Micron Technology, Inc. Stereolithographic method for forming insulative coatings for via holes in semiconductor devices
US20070237890A1 (en) * 2004-02-20 2007-10-11 Jsr Corporation Bilayer Laminated Film for Bump Formation and Method of Bump Formation
JP4535002B2 (ja) 2005-09-28 2010-09-01 Tdk株式会社 半導体ic内蔵基板及びその製造方法
US7569422B2 (en) * 2006-08-11 2009-08-04 Megica Corporation Chip package and method for fabricating the same
JP2008091638A (ja) * 2006-10-02 2008-04-17 Nec Electronics Corp 電子装置およびその製造方法
US20080157316A1 (en) 2007-01-03 2008-07-03 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US8102663B2 (en) * 2007-09-28 2012-01-24 Oracle America, Inc. Proximity communication package for processor, cache and memory
US8721901B2 (en) * 2007-10-05 2014-05-13 Micron Technology, Inc. Methods of processing substrates and methods of forming conductive connections to substrates
US7892885B2 (en) * 2007-10-30 2011-02-22 International Business Machines Corporation Techniques for modular chip fabrication
JP5150518B2 (ja) * 2008-03-25 2013-02-20 パナソニック株式会社 半導体装置および多層配線基板ならびにそれらの製造方法
US8253230B2 (en) * 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5330065B2 (ja) * 2009-04-13 2013-10-30 新光電気工業株式会社 電子装置及びその製造方法
KR101131230B1 (ko) 2009-05-06 2012-03-28 삼성전기주식회사 범프 지지부를 갖는 인쇄회로기판 및 그 제조방법
US8227904B2 (en) * 2009-06-24 2012-07-24 Intel Corporation Multi-chip package and method of providing die-to-die interconnects in same
US9875911B2 (en) * 2009-09-23 2018-01-23 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interposer with opening to contain semiconductor die
JP5715334B2 (ja) * 2009-10-15 2015-05-07 ルネサスエレクトロニクス株式会社 半導体装置
JP2011142256A (ja) * 2010-01-08 2011-07-21 Elpida Memory Inc 半導体装置及びその製造方法
US8569894B2 (en) * 2010-01-13 2013-10-29 Advanced Semiconductor Engineering, Inc. Semiconductor package with single sided substrate design and manufacturing methods thereof
US20110186989A1 (en) 2010-02-04 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Bump Formation Process
US8507966B2 (en) * 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8274149B2 (en) 2010-03-29 2012-09-25 Advanced Semiconductor Engineering, Inc. Semiconductor device package having a buffer structure and method of fabricating the same
KR101139699B1 (ko) * 2010-04-26 2012-05-02 한국과학기술원 수동소자가 적층된 반도체 칩, 이를 포함하는 3차원 멀티 칩 및 이를 포함하는 3차원 멀티 칩 패키지
KR20120019091A (ko) * 2010-08-25 2012-03-06 삼성전자주식회사 멀티-칩 패키지 및 그의 제조 방법
US8736065B2 (en) * 2010-12-22 2014-05-27 Intel Corporation Multi-chip package having a substrate with a plurality of vertically embedded die and a process of forming the same
US8610285B2 (en) * 2011-05-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC packaging structures and methods with a metal pillar
US8581400B2 (en) * 2011-10-13 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure
US9059179B2 (en) * 2011-12-28 2015-06-16 Broadcom Corporation Semiconductor package with a bridge interposer
US8704364B2 (en) * 2012-02-08 2014-04-22 Xilinx, Inc. Reducing stress in multi-die integrated circuit structures
US8836587B2 (en) * 2012-03-30 2014-09-16 Apple Inc. Antenna having flexible feed structure with components
US9054030B2 (en) * 2012-06-19 2015-06-09 Micron Technology, Inc. Memory cells, semiconductor device structures, memory systems, and methods of fabrication
US9102209B2 (en) 2012-06-27 2015-08-11 Bose Corporation Anti-causal vehicle suspension
US10192804B2 (en) * 2012-07-09 2019-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bump-on-trace packaging structure and method for forming the same
US9006908B2 (en) * 2012-08-01 2015-04-14 Marvell Israel (M.I.S.L) Ltd. Integrated circuit interposer and method of manufacturing the same
US8872349B2 (en) * 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US8946900B2 (en) * 2012-10-31 2015-02-03 Intel Corporation X-line routing for dense multi-chip-package interconnects
US9236366B2 (en) * 2012-12-20 2016-01-12 Intel Corporation High density organic bridge device and method
US8901748B2 (en) * 2013-03-14 2014-12-02 Intel Corporation Direct external interconnect for embedded interconnect bridge package
US10269619B2 (en) * 2013-03-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level chip scale packaging intermediate structure apparatus and method
US8916981B2 (en) * 2013-05-10 2014-12-23 Intel Corporation Epoxy-amine underfill materials for semiconductor packages
US9147663B2 (en) * 2013-05-28 2015-09-29 Intel Corporation Bridge interconnection with layered interconnect structures
KR102094924B1 (ko) * 2013-06-27 2020-03-30 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
US10192810B2 (en) * 2013-06-28 2019-01-29 Intel Corporation Underfill material flow control for reduced die-to-die spacing in semiconductor packages
US9147638B2 (en) * 2013-07-25 2015-09-29 Intel Corporation Interconnect structures for embedded bridge
US8987915B1 (en) * 2013-08-29 2015-03-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9642259B2 (en) * 2013-10-30 2017-05-02 Qualcomm Incorporated Embedded bridge structure in a substrate
US9971089B2 (en) * 2015-12-09 2018-05-15 Intel Corporation Chip-to-chip interconnect with embedded electro-optical bridge structures
US9852994B2 (en) * 2015-12-14 2017-12-26 Invensas Corporation Embedded vialess bridges

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5081563A (en) 1990-04-27 1992-01-14 International Business Machines Corporation Multi-layer package incorporating a recessed cavity for a semiconductor chip
US5937320A (en) 1998-04-08 1999-08-10 International Business Machines Corporation Barrier layers for electroplated SnPb eutectic solder joints
US7402901B2 (en) 2005-03-16 2008-07-22 Sony Corporation Semiconductor device and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US10475745B2 (en) 2019-11-12
KR20160015340A (ko) 2016-02-12
US20170207168A1 (en) 2017-07-20
DE102014107514A1 (de) 2015-03-26
US20210384129A1 (en) 2021-12-09
CN104218024B (zh) 2018-03-30
US20190013271A1 (en) 2019-01-10
US11133257B2 (en) 2021-09-28
US9640485B2 (en) 2017-05-02
KR101588312B1 (ko) 2016-01-26
US11694960B2 (en) 2023-07-04
DE102014019890B3 (de) 2021-12-23
US20200043852A1 (en) 2020-02-06
US9147663B2 (en) 2015-09-29
US10103103B2 (en) 2018-10-16
US20150364423A1 (en) 2015-12-17
CN108364926B (zh) 2022-10-14
CN104218024A (zh) 2014-12-17
DE102014107514B4 (de) 2023-10-26
US20140353827A1 (en) 2014-12-04
KR101907733B1 (ko) 2018-11-29
KR20140139974A (ko) 2014-12-08
US20240014138A1 (en) 2024-01-11
CN108364926A (zh) 2018-08-03

Similar Documents

Publication Publication Date Title
DE102014019978B3 (de) Bridge-verbindung mit geschichteten verbindungsstrukturen
DE102014116417B4 (de) Paket integrierter Schaltungen mit eingebetteter Brücke, Verfahren zum Zusammenbau eines solchen und Paketzusammensetzung
DE112014003166B4 (de) Gestapelte Halbleitervorrichtungsbaugruppe mit einer verbesserten Verbindungsbandbreite und Verfahren zur Herstellung einer solchen Baugruppe
DE102013223846B4 (de) Packungsanordnung für Logikchip und andere in Aufbauschichten eingebettete Komponenten, Herstellungsverfahren dafür und System diese umfassend
DE102013101192B4 (de) Halbleitergehäuse
DE102015017329B3 (de) Herstellungsverfahren einer Halbleitervorrichtung
DE112015007233B4 (de) Mikroprozessorgehäuse mit masseisolationsgewebestruktur mit kontakthöckern auf erster ebene und verfahren zur ausbildung eines masseisolationsgewebestrukturgehäuses aus leitfähigem material
DE112016007567T5 (de) Gehäusesubstrat mit hochdichte-zwischenverbindungsschicht mit säulen- und via-verbindungen zur fan-out-skalierung
DE102015105855A1 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
DE112013005582T5 (de) Kontaktfleckstruktur für eine Silicium-Durchkontaktierung
DE102008032510A1 (de) System in einem Gehäuse und Verfahren zu seiner Herstellung
DE102016114814B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102018129433B4 (de) Fan-Out-Gehäuse und Verfahren
DE102011053356A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102015101952A1 (de) IC-Gehäuse
DE202014103794U1 (de) Herstellung eines Substrates mit einer eingebetteten Chiplage unter Verwendung von Projektionsstrukturierung und damit verbundenen Paket-Konfigurationen
DE112006003771B4 (de) Auf Chipebene integrierte passive Hochfrequenzelemente, Verfahren zu ihrer Herstellung und Systeme, die diese enthalten
DE112014002746T5 (de) Lötkontakte für Buchsenbaugruppen
DE102022120948A1 (de) Halbleiterbauelement mit lötfreier die-verbindung zur distributionsschicht
DE112017004648T5 (de) Zinn-Zink-Mikrohöcker-Strukturen und Verfahren zum Herstellen derselben
DE102019124237A1 (de) Heterogene antenne im fan-out-package
DE102018119996B4 (de) Gehäusesubstrat-architektur mit zwischenverbindung derersten ebene, gehäuse und verfahren
DE112011103224T5 (de) Elektrolytische Gold- oder Goldpalladium-Oberflächenveredelungsanwendung in der Bearbeitung eines kernlosen Substrats
DE112022001292T5 (de) Dicke und dünne leiterbahnen in einer brücke mit einem glaskern
DE102021119009A1 (de) Strukturierung leitfähiger routen für elektronische substrate

Legal Events

Date Code Title Description
R129 Divisional application from

Ref document number: 102014107514

Country of ref document: DE

R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 102014020116

Country of ref document: DE

R020 Patent grant now final