DE102012100796A1 - Chip-Zu-Chip-Abstandskontrolle für eine Halbleiterstruktur und Verfahren zu deren Herstellung - Google Patents

Chip-Zu-Chip-Abstandskontrolle für eine Halbleiterstruktur und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102012100796A1
DE102012100796A1 DE201210100796 DE102012100796A DE102012100796A1 DE 102012100796 A1 DE102012100796 A1 DE 102012100796A1 DE 201210100796 DE201210100796 DE 201210100796 DE 102012100796 A DE102012100796 A DE 102012100796A DE 102012100796 A1 DE102012100796 A1 DE 102012100796A1
Authority
DE
Germany
Prior art keywords
chip
substrate
distance
chips
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE201210100796
Other languages
English (en)
Other versions
DE102012100796B4 (de
Inventor
Jing-Cheng Lin
Szu-Wei Lu
Ying-Ching Shih
Ying-Da Wang
Li-Chung Kuo
Long-Hua Lee
Shing-Puu Jeng
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102012100796A1 publication Critical patent/DE102012100796A1/de
Application granted granted Critical
Publication of DE102012100796B4 publication Critical patent/DE102012100796B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Abstract

Die vorliegende Erfindung betrifft eine Struktur mit einem Substrat (13, 101), einem ersten Chip (18, 90) und einem zweiten Chip (20). Das Substrat (13, 101) weist eine erste Oberfläche und eine von der ersten Oberfläche abgewandte zweite Oberfläche auf. Das Substrat (13, 101) weist eine Substrat-Durchkontaktierung (14) auf, die sich von der ersten Oberfläche zur zweiten Oberfläche erstreckt. Der erste Chip (18, 90) ist auf dem Substrat (13, 101) befestigt und mit der ersten Oberfläche des Substrats (13, 101) verbunden. Der zweite Chip (20) ist auf dem Substrat (13, 101) befestigt und mit der ersten Oberfläche des Substrats (13, 101) verbunden. Eine erster Abstand (40) besteht zwischen einer ersten Kante des ersten Chips (18, 90) und einer ersten Kante des zweiten Chips (20). Der erste Abstand (40, 72, 82) liegt in einer Richtung parallel zu der ersten Oberfläche des Substrats (13, 101) und beträgt 200 Mikrometer oder weniger.

Description

  • Die vorliegende Erfindung betrifft eine Chip-Zu-Chip-Abstandssteuerung für eine Halbleiterstruktur sowie ein Verfahren zur Herstellung derselben.
  • Seit der Entwicklung des integrierten Schaltkreises (IC) erfährt die Halbleiterindustrie ein anhaltend schnelles Wachstum aufgrund der anhaltenden Verbesserungen der Integrationsdichte von verschiedenen elektronischen Komponenten (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren, usw.). Zum größten Teil resultieren diese Verbesserungen der Integrationsdichte aus wiederholten Verkleinerungen der minimalen Strukturgröße, die es ermöglichen, mehr Komponenten in einen vorgegebenen Bereich zu integrieren.
  • Diese Integrationsverbesserungen sind ihrer Natur nach im Wesentlichen zweidimensional (2-D), zumal sich die Fläche, die von den integrierten Komponenten eingenommen wird im Wesentlichen auf der Oberfläche des Halbleiterwafers befindet. Die erhöhte Dichte und die zugehörige Abnahme der Fläche des integrierten Schaltkreises hat allgemein die Möglichkeit überholt, einen integrierten Schaltkreischip direkt auf eine Oberfläche zu bonden oder damit zu verbinden.
  • Unterschiedliche Einkapselungs- oder Montagetechniken, die eine zusätzliche Dimension ausnutzen, wurden verwendet, um verschiedene Aufgaben zu lösen. Eine Montage- oder Gehäusetechnik umfasst einen Chip oder Chips auf einem Zwischenelement, Zwischenträger, Zwischenchip oder Zwischensubstrat. Zwischenträger oder Zwischenchips wurden verwendet, um die Kugelkontaktbereiche des/der Chips auf eine größere Fläche auf dem Zwischenträger oder Zwischenchip umzuverteilen. Eine andere Entwicklung ist das Stapeln von Chips auf einem aktiven Chip. Das ermöglicht auch Einkapselungen oder Gehäuse, die mehrere Chips zu umfasst und reduziert die benötigte Gehäusefläche.
  • Während des Herstellungsverfahrens umfasst der Zwischenchip oder der untere aktive Chip bei diesen Einkapselungen oder Gehäusen allgemein Durchkontaktierungen durch das Substrat (through substrate vias, TSVs, auch als "Durch-Halbleiter-Kontakt" oder "Durch-Silizium-Kontakt" bezeichnet). Andere Chips werden typischerweise auf dem Zwischenchip oder dem unteren aktiven Chip befestigt, bevor der Zwischenchip oder der untere aktive Chip von dem Wafer vereinzelt wird. Nach einem Chip-Befestigungsschritt wird üblicherweise der Wafer, der den Zwischenchip oder den unteren aktiven Chip aufweist, weiter verarbeitet, was typischerweise verschiedene thermische Prozesse umfasst. Der Wärmeausdehnungskoeffizient (CTE) oder der Wärmeschrumpfungskoeffizient eines Unterfüllmaterials kann den Wafer dazu veranlassen, sich während des thermischen Prozesses zu krümmen, zu werfen oder sich zu verziehen. Das Krümmen oder Verziehen kann die Materialbeanspruchung der TSVs oder anderer Komponenten der Baugruppe, wie dem Unterfüllmaterial oder (Löt-)Höckern, erhöhen. Die Materialbeanspruchung kann Risse in den TSVs, Risse in den Höckern oder ein Ablösen oder Abblättern der Unterfüllung hervorrufen.
  • Die Erfindung wird im Folgenden beispielsweise anhand der Zeichnung näher erläutert. Es zeigen:
  • 1A und 1B eine Querschnittsansicht bzw. ein Layout einer Vier-Chip-Zweieinhalb-Dimensionale-Integrierte-Schaltkreis(2,5-DIC)-Struktur gemäß einer Ausführungsform der Erfindung;
  • 2 ein Diagramm, das die Krümmung eines Wafers in Abhängigkeit eines Chip-Zu-Chip-Abstandes für die Struktur aus den 1A und 1B darstellt;
  • 3A und 3B eine Querschnittsansicht bzw. ein Layout einer Drei-Chip-2,5-DIC-Struktur gemäß einer anderen Ausführungsform;
  • 4A und 4B eine Querschnittsansicht bzw. ein Layout einer Zwei-Chip-2,5-DIC-Struktur gemäß einer weiteren Ausführungsform;
  • 5 ein Diagramm, in dem ein mittlerer Lückenabstand als Funktion der Anzahl der Chips auf einer Struktur gemäß verschiedener Ausführungsformen dargestellt ist;
  • 6 Chips, die von einem Wafer vereinzelt wurden, gemäß einer Ausführungsform;
  • 7 eine Querschnittsansicht einer Zwei-Chip-2,5-DIC-Struktur mit einem in der 6 gezeigten Chip;
  • 8A und 8B Ausschnitte von Aufnahmen von Strukturen gemäß der Erfindung; und
  • 9A bis 9H ein Verfahren zum Ausbilden von Strukturen gemäß einer Ausführungsform.
  • Ausführungsformen der Erfindung werden im Folgenden unter Bezugnahme auf eine Zweieinhalb-Dimensionale-Integrierte-Schaltkreis(2,5-DIC)-Struktur mit Chips, die auf einem passiven Zwischenchip befestigt sind, beschrieben. Andere Ausführungsformen können auch für einen Drei-Dimensionalen-IC (3-DIC), der gestapelte aktive Chips umfasst, einer 2,5-DIC-Struktur mit Chips, die auf einem aktiven Zwischenchip befestigt sind, oder Ähnlichem angewendet werden.
  • Die 1A und 1B zeigen eine Querschnittsansicht bzw. eine Aufbauskizze oder Lay-out einer Vier-Chip-2,5-DIC-Struktur 10 während der Verarbeitung. Die Struktur 10 umfasst einen passiven Zwischenchip 12 mit einem ersten Chip 18, einem zweiten Chip 20, einem dritten Chip 22 und einem vierten Chip 24, die durch erste Verbindungen 26, zweite Verbindungen 28, dritte Verbindungen 30 bzw. vierte Verbindungen 32 befestigt sind. Die Verbindungen 26, 28, 30 und 32 können leitende (Löt-/Bonding-)Höcker, wie Mikrohökker umfassen und können den jeweiligen Chip 18, 20, 22 und 24 mit dem passiven Zwischenchip 12 elektrisch und mechanisch verbinden. Der passive Zwischenchip 12 umfasst Substrat-Durchkontaktierungen 14 also Durchkontaktierungen durchs Substrat (TSVs, auch als "Durch-Halbleiter-Kontakt" oder "Durch-Silizium-Kontakt" bezeichnet) in einem Substrat 13 und eine Umverteilungsschicht (RDL) 16 auf einer Oberfläche einer Vorderseite des Substrats 13. Diverse Höckerbondingflächen befinden sich auf der RDL 16 (nicht gezeigt) und sind mit den jeweiligen Verbindungen 26, 28, 30 und 32 verbunden. Diverse Höckerbondingflächen sind durch die RDL 16 mit den jeweiligen TSVs 14 elektrisch verbunden. Ein Unterfüllmaterial 34 ist um die und zwischen den Verbindungen 26, 28, 30 und 32 und zwischen dem Zwischenchip 12 und jedem der Chips 18, 20, 22 und 24 vorgesehen. Das Unterfüllmaterial 34 ist auch zwischen benachbarten Chips, wie beispielsweise zwischen dem ersten Chip 18 und dem zweiten Chip 20, zwischen dem zweiten Chip 20 und dem dritten Chip 22 und zwischen dem dritten Chip 22 und dem vierten Chip 24, vorgesehen.
  • Es soll angemerkt werden, dass eine andere Ausführungsform einen aktiven Chip verwendet, der TSVs und aktive Vorrichtungen anstatt des Zwischenchips 12 verwendet, um eine 3-DIC-Struktur zu realisieren. Weiterhin kann der Zwischenchip 12 eine Vorrichtung in dem Substrat 13 aufweisen, sodass der Zwischenchip 12 auch als ein aktiver Zwischenchip bezeichnet werden kann.
  • Zwischen den Chips auf dem Zwischenchip 12 bestehen drei Lücken. Eine erste Lücke besteht zwischen dem ersten Chip 18 und dem zweiten Chip 20 und weist eine erste Breite oder einen ersten Abstand 40 auf. Eine zweite Lücke besteht zwischen dem zweiten Chip 20 und dem dritten Chip 22 und weist eine zweite Breite oder einen zweiten Abstand 42 auf. Eine dritte Lücke besteht zwischen dem dritten Chip 22 und dem vierten Chip 24 und weist eine dritte Breite oder einen dritten Abstand 44 auf.
  • Die drei Lücken weisen einen Wert für ihre Breiten oder Abstände auf, der das Verziehen oder Krümmen der Struktur 10 steuert oder beeinflusst. Der Wert kann als ein mittlerer Abstand der Lücken zwischen benachbarten Chips beschrieben werden. Der mittlere Abstand kann als eine Funktion der Anzahl der Chips bestimmt werden und der mittlere Abstand kann einen kritischen Krümmungswert der Struktur 10 steuern. Insbesondere kann der mittlere Abstand durch das Diagramm der 5 allgemein dargestellt werden, wie es weiter unten detaillierter beschrieben wird. Es soll angemerkt werden, dass die Breiten oder Abstände der Lücken nicht alle gleich sein müssen, obwohl sie gleich sein können. Die Abstände können unterschiedliche Werte aufweisen, aber in verschiedenen Ausführungsformen ist die Summe aller Abstände gleich dem oder kleiner als der mittlere Abstand mal der Anzahl der Lücken.
  • In den offenbarten Ausführungsformen wurde ein Krümmungswert mit einer Abweichung von 600 Mikrometern in einem „12 inch-Wafer“ (ein Wafer mit einem Durchmesser von etwa 300 Millimeter), der das Substrat 13 umfasst, angenommen, da bei dieser Abweichung oder Ablenkung ein signifikantes Ablösen oder Delaminieren oder Abblättern des Unterfüllmaterials, ein Reißen der Höcker und/oder ein Reißen der TSVs auftreten kann, und bei dieser Ablenkung ein Prozess während der Rückseitenbearbeitung des Substrats 13 beeinträchtigt sein kann. Der erste Chip 18, der zweite Chip 20, der dritte Chip 22 und der vierte Chip 24 weisen jeweils eine Dicke auf, z. B. in einer Richtung senkrecht zur Oberfläche der Vorderseite des Zwischenchips 12, die ungefähr 770 Mikrometer beträgt. Der Zwischenchip 12 weist einen kombinierten Chip-Befestigungsbereich für den ersten Chip 18, den zweiten Chip 20, den dritten Chip 22 und den vierten Chip 24 auf der Oberfläche der Vorderseite des Zwischenchips 12 auf, der ungefähr 680 Quadratmillimeter beträgt. Unter diesen Bedingungen beträgt der mittlere Abstand der drei Lücken der Struktur 10 50 Mikrometer oder weniger, beispielsweise kann jeder der Lückenabstände 50 Mikrometer oder weniger betragen. Somit ist die Gesamtsumme der Abstände der drei Lücken 150 Mikrometer oder weniger und die Breiten oder Abstände der drei Lücken können gleichmäßig oder ungleichmäßig verteilt sein. Solange der mittlere Chip-Zu-Chip-Abstand oder -Zwischenraum (die Abstände 40, 42 und 44 in den 1A und 1B) gleich oder weniger als 50 Mikrometer beträgt, wie in der 2 gezeigt, bleibt die Krümmung, z. B. die Ablenkung des Wafers während der Bearbeitung der Struktur 10, kleiner als 600 Mikrometer.
  • Für Strukturen mit mehr als vier Chips sättigt der mittlere Abstand, unter der Annahme, dass alle anderen Bedingungen wie oben beschrieben sind, bei 50 Mikrometern oder weniger. Somit beträgt für eine Fünf-Chip-Struktur mit vier Lücken die Gesamtsumme der Lückenabstände 200 Mikrometer oder weniger. Wie oben können die Lückenabstände gleich oder ungleich sein.
  • Die 3A und 3B veranschaulichen eine Querschnittsansicht bzw. eine Aufbauskizze oder Layout einer Drei-Chip-2,5-DIC-Struktur 70 gemäß einer anderen Ausführungsform. Die Struktur 70 ist der Struktur 10 aus den 1A und 1B ähnlich. Die Struktur 70 umfasst den ersten Chip 18, den zweiten Chip 20 und den dritten Chip 22, die auf dem Zwischenchip 12 durch die ersten Verbindungen 26, die zweiten Verbindungen 28 bzw. die dritten Verbindungen 30 befestigt sind. In dieser Drei-Chip-Struktur 70 bestehen zwei Lücken zwischen jeweils benachbarten Chips. Eine Lücke zwischen dem ersten Chip 18 und dem zweiten Chip 20 weist einen ersten Abstand 72 und eine Lücke zwischen dem zweiten Chip 20 und dem dritten Chip 22 weist einen zweiten Abstand 74 auf.
  • So wie oben, weisen die Lücken einen Wert für ihre Breiten oder Abstände auf, der die Krümmung des Wafers, der das Substrat 13 umfasst, beeinflusst und der Wert kann als ein mittlerer Abstand beschrieben werden, der auf der Anzahl der Chips basiert. Unter den gleichen Abmessungen, wie zuvor unter Bezugnahme auf die Struktur 10 aus den 1A und 1B beschrieben, jedoch mit einer unterschiedlichen Chipfläche auf dem Zwischenchip aufgrund der Tatsache, dass weniger Chips vorhanden sind, beträgt der mittlere Abstand für die Lücken zwischen den Chips in der Struktur 70 ungefähr 125 Mikrometer oder weniger, zum Beispiel kann jeder Lückenabstand genau oder weniger als 125 Mikrometer betragen.
  • Die 4A und 4B veranschaulichen eine Querschnittansicht bzw. eine Aufbauskizze oder Layout einer Zwei-Chip-2,5-DIC-Struktur 80 gemäß einer anderen Ausführungsform. Die Struktur 80 ist der Struktur 10 aus den 1A und 1B ähnlich. Die Struktur 80 umfasst den ersten Chip 18 und den zweiten Chip 20, die auf dem Zwischenchip 12 durch die ersten Verbindungen 26 bzw. die zweiten Verbindungen 28 befestigt sind. In dieser Zwei-Chip-Struktur 80 besteht eine Lücke zwischen den Chips. Die Lücke zwischen dem ersten Chip 18 und dem zweiten Chip 20 weist einen ersten Abstand 82 auf.
  • Wie oben weist die Lücke einen Wert für den Abstand auf, der die Krümmung des Wafers, der das Substrat 13 umfasst, steuert und der Wert kann als ein Abstand beschrieben werden, der auf der Anzahl der Chips basiert. Unter denselben Abmessungen wie zuvor diskutiert unter Bezugnahme auf die Struktur 10 aus den 1A und 1B, jedoch mit einer anderen Chipfläche auf dem Zwischenchip, beträgt der Abstand für die Lücke zwischen den Chips in der Struktur 80 ungefähr 200 Mikrometer oder weniger.
  • Die 5 veranschaulicht den mittleren Lückenabstand für Strukturen mit unterschiedlicher Anzahl an Chips, um die Krümmung der Struktur zu steuern. Wie zuvor diskutiert, beträgt der mittlere Lückenabstand zwischen den Chips für Strukturen mit vier oder mehr Chips jeweils 50 Mikrometer oder weniger. Der mittlere Abstand sättigt bei ungefähr 50 Mikrometer oder weniger für Strukturen mit vier oder mehr Chips. Der mittlere Lückenabstand zwischen den Chips für Strukturen mit drei Chips beträgt 125 Mikrometer oder weniger. Der Lückenabstand zwischen den Chips für Strukturen mit zwei Chips beträgt 200 Mikrometer oder weniger. Wie oben kann der mittlere Lückenabstand kleiner sein als diese ausgewiesenen Werte und somit kann die Lücke zwischen benachbarten Chips in einer Struktur jeweils kleiner sein als diese für eine entsprechende Struktur ausgewiesenen Werte.
  • Die 6 veranschaulicht weitere Merkmale und Strukturen von Ausführungsformen. Die 6 zeigt Chips 90, wie sie von einem hergestellten Wafer vereinzelt wurden. Jeder dieser Chips 90 weist einen aktiven Bereich 92 auf, der durch einen Dichtring 94 oder Verschlussring 94 umschlossen ist. In manchen Ausführungsformen ist eine Sägeblattbreite verkleinert, um einen schmaleren Schnittspalt 96 zwischen den vereinzelten Chips 90 zu ermöglichen. Die Chips 90 können überschüssiges Substratmaterial des Chipwafer zwischen den jeweiligen Dichtringen 94 und den Chipkanten, wie einen Abstand 98 oder einen Abstand 100, aufweisen. Zum Beispiel kann jeder der Abstände 98 und Abstand 100 15 Mikrometer oder mehr betragen.
  • Durch die Verwendung eines schmaleren Sägeblattes oder dem Entwerfen einer breiteren Schnittlinie können Ausführungsformen in einem existierenden Prozess integriert werden. Zum Beispiel muss die Bearbeitung eines Wafers nicht notwendigerweise modifiziert werden, um Chips mit Überschusssubstratmaterial zwischen einem Dichtring 94 und einer Chipkante zu erhalten. Weiterhin muss die Anschlussfläche der Verbindungen auf dem Zwischenchip 12 oder gegebenenfalls dem aktiven Chip, mit dem der Chip 90 verbunden wird, nicht notwendigerweise abgeändert werden, um die hierin offenbarten Lückenabstände zu erreichen. Aufgrund des Überschussmaterials kann der Chip eine größere Fläche aufzuweisen, wodurch der Abstand zwischen benachbarten Chips reduziert wird.
  • Die 7 veranschaulicht eine Querschnittsansicht einer Zwei-Chip-2,5-DIC-Struktur 110. Die Struktur 110 ist der Struktur 80 aus der 4A ähnlich. Der erste Chip 18 der Struktur 80 ist in der Struktur 110 der 7 durch einen Chip 90 ersetzt. Der Chip 90 ist auf der Vorderseite des Zwischenchips 12 mit Verbindungen 112 befestigt, die eine kleinere Anschlussfläche als die Fläche des Chips 90 aufweisen. Das Überschussmaterial entlang der äußeren Bereiche des Chips 90 weisen einen Abstand 98 auf, welcher den Abstand der Lücke zwischen dem Chip 90 und dem zweiten Chip 20 verkleinert, um einen Abstand zu erzielen, wie er unter Bezugnahme auf die 4A offenbart ist. Ausführungsformen können die Merkmale des Chips 90 für alle Chips, für manche Chips oder für keine der Chips einer Struktur und für Strukturen mit jeder Anzahl von Chips nutzen.
  • Wieder unter Bezugnahme auf die 2 kann die Krümmung einer Struktur so gesteuert werden, dass diese unter einer Ablenkung von 600 Mikrometer bleibt, indem ein größerer mittlerer Abstand zwischen den Chips gewählt wird. In diesen Ausführungsformen kann der Chip-Zu-Chip-Abstand größer als ungefähr 380 Mikrometer sein, beispielsweise zwischen ungefähr 380 Mikrometer und ungefähr 600 Mikrometer. Dieser Chip-Zu-Chip-Abstand kann einen mittleren Lückenabstand für eine Struktur sein, die eine beliebige Anzahl von Chips aufweist.
  • Weiterhin überbrückt in diesen Ausführungsformen ein Unterfüllmaterial die Lücke zwischen benachbarten Chips. Die 8A und 8B zeigen Ausschnitte von Aufnahmen von Beispiellücken mit einem benachbarte Chips überbrückenden Unterfüllmaterial, die unterschiedliche Lückenabstände aufweisen. Die Strukturen mit den Lücken, wie sie in den 8A und 8B gezeigt sind, können den Strukturen, wie sie in den 1A, 1B, 3A, 4B, 4A und 4B gezeigt sind, ähnlich sein, abgesehen davon dass sie einen mittleren Lückenabstand zwischen ungefähr 380 Mikrometer und 600 Mikrometer aufweisen. In der 8A umfasst die Struktur einen ersten Chip 102 und einen zweiten Chip 103, die auf dem Substrat 101 befestigt sind. Ein Unterfüllmaterial 104 befindet sich zwischen dem ersten Chip 102 und dem zweiten Chip 103 und überbrückt den Lückenabstand 105. Der Lückenabstand 105 in dieser Ausführungsform beträgt ungefähr 400 Mikrometer, beispielsweise 397 Mikrometer. In der 8B weist eine der Struktur aus der 8A ähnliche Struktur einen Lückenabstand 107 von ungefähr 500 Mikrometer, beispielsweise 497 Mikrometer, auf. Ein Unterfüllmaterial 106 befindet sich zwischen dem ersten Chip 102 und dem zweiten Chip 103 und überbrückt den Lückenabstand 107.
  • Die 9A bis 9H veranschaulichen gemäß einer Ausführungsform ein Verfahren zum Ausbilden von Strukturen, beispielsweise die Zwei-Chip-Struktur 80 aus der 4A oder die Strukturen aus den 8A oder 8B.
  • Zunächst zeigt 9A ein Substrat 13, welches als Teil eines Wafers bearbeitet wird, mit TSVs 14, die durch eine Vorderseite des Substrats 13 ausgebildet sind. Das Substrat 13 kann aktive Vorrichtungen aufweisen, die zum Beispiel auf oder in der Oberfläche der Vorderseite des Substrats 13 ausgebildet sind. Somit kann dies ein Chip für eine 3-DIC-Struktur sein. Das Substrat 13 kann auch keine aktiven Vorrichtungen in dem Substrat 13 aufweisen und somit ein passiver Zwischenchip für eine 2,5-DIC-Struktur sein. In anderen Ausführungsformen kann das Substrat 13 aktive Vorrichtungen in dem Substrat 13 aufweisen und ein aktiver Zwischenchip für eine 2,5-DIC-Struktur sein.
  • Das Substrat 13 weist im Allgemeinen ein Material auf, das dem Substrat ähnlich ist, das zum Ausbilden der Chips verwendet wird, die auf dem Zwischenchip befestigt werden. Dies ist beispielsweise Silizium. Auch wenn das Substrat 13 aus anderen Materialien ausgebildet werden kann, wird angenommen, dass die Verwendung von Siliziumsubstraten für den Zwischenchip oder die Chips die Materialbeanspruchung herabsenken kann, da Unterschiede zwischen den Wärmeausdehnungskoeffizienten (CTE) des Siliziumsubstrats und des Siliziums, das typischerweise für die Chips verwendet wird, geringer sind als bei Substraten, die aus anderen oder unterschiedlichen Materialien ausgebildet sind.
  • Die TSVs 14 werden durch das Ausbilden von Aussparungen oder Ausnehmungen in dem Substrat 13 ausgebildet, zum Beispiel durch Ätzen, Fräsen, Lasertechniken oder Kombinationen daraus und/oder ähnlichen Prozessen. Eine dünne Barriereschicht wird konform (also vorzugsweise oberflächentreu) über der Vorderseite des Substrats 13 und in den Öffnungen abgeschieden, beispielsweise durch chemische Gasabscheidung (CVD), Atomlagenabscheidung (ALD), physikalische Gasabscheidung (PVD), thermisches Oxidieren, eine Kombination daraus und/oder ähnliche Prozesse. Die Barriereschicht kann ein Nitrid oder ein Oxinitrid, wie Titannitrid, Titanoxinitrid, Tantalnitrid, Tantaloxinitrid, Wolframnitrid, eine Kombination daraus und/oder Ähnliches, aufweisen. Ein leitendes Material wird über der dünnen Barriereschicht und in den Öffnungen abgeschieden. Das leitende Material kann durch eine elektrochemische Galvanotechnik (elektrochemisches Plattieren, ECP), CVD, ALD, PVD, eine Kombination daraus und/oder Ähnlichem ausgebildet werden. Beispiele für das leitende Material sind Kupfer, Wolfram, Aluminium, Silber, Gold, eine Kombination daraus und/oder Ähnliches. Überschüssiges leitendes Material und Barrierematerial wird von der Vorderseite des Substrats 13 abgetragen, zum Beispiel durch einen chemisch-mechanischen Polierprozess (CMP) Somit umfassen die TSVs 14 ein leitendes Material und eine dünne Barriereschicht zwischen dem leitenden Material und dem Substrat 13.
  • Die Behandlung oder Bearbeitung der Vorderseite wird in der 9B mit dem Ausbilden der Umverteilungsschicht (RDL) 16 weitergeführt. Die RDL 16 kann jede Anzahl an oder Kombination aus Metallisierungsschichten, Zwischenmetall-Dielektrikumschichten (IMD), Durchkontaktierungen (Vias) und Passivierungsschichten aufweisen. Die in der 9B dargestellte RDL 16 umfasst drei Metallisierungsschichten, wie eine erste Metallisierungsschicht (M1) 120, eine zweite Metallisierungsschicht (M2) 122 und eine dritte Metallisierungsschicht (M3) 124, die in IMD-Schichten (ein-)gefasst sind. Vias sind zwischen den Metallisierungsschichten in den IMD-Schichten ausgebildet. Die Metallisierungsschichten werden ausgebildet, indem eine IMD-Schicht abgeschieden wird, eine Metallisierungsmuster der betreffenden Schichten in die IMD-Schicht beispielsweise unter Verwendung geeigneter Fotolithografietechniken geätzt wird, eines leitenden Materials für die Metallisierung in der entsprechend strukturierten IMD abgeschieden wird und überschüssiges leitendes Material beispielsweise durch CMP abgetragen wird. Die fotolithografische Technik kann einen einzelnen Damaszierungsprozess oder einen dualen Damaszierungsprozess umfassen, besonders dann, wenn Vias durch eine IMD-Schicht zu einer darunter liegenden Metallisierungsschicht gebildet werden.
  • Die IMD-Schichten können ein Oxiddielektrikum, wie Borphosphosilikatglas (BPSG), oder ein anderes dielektrisches Materialien umfassen. Das leitende Material der Metallisierungsschichten kann zum Beispiel Kupfer, Nickel, Aluminium, Kupfer-Aluminium, Wolfram, Titan, Kombinationen daraus und/oder Ähnliches umfassen. Die Metallisierungsschichten können Barriereschichten, wie Titannitrid, Tantalnitrid, Ähnliches oder Kombinationen daraus, umfassen, die zwischen dem leitenden Material und dem IMD-Material angeordnet sind, und andere dielektrische Schichten, wie eine Ätzstoppschicht, die beispielsweise aus Siliziumnitrid hergestellt ist, können zwischen den IMD-Schichten ausgebildet werden.
  • Nach dem Ausbilden der obersten Metallisierungsschicht, in der 9B die dritten Metallisierungsschicht 124, werden eine oder mehrere Passivierungsschichten über den Metallisierungsschichten ausgebildet. Die Passivierungsschicht(en) kann/können Polyimid, BPSG, Siliziumnitrid, eine Kombination daraus und/oder Ähnliches umfassen und können unter Verwendung einer "Spin-on"-Technik, CVD, ALD, PVD, einer Kombination daraus und/oder dergleichen ausgebildet werden. Öffnungen 126 werden durch die Passivierungsschicht ausgebildet, um die Oberseite der obersten Metallisierungsschicht, in der 9B die dritten Metallisierungsschicht 124, freizulegen, um die Höckerbondingflächen auf der obersten Metallisierungsschicht auszubilden. Die Öffnungen 126 können beispielsweise unter Verwendung eines geeigneten fotolithografischen Prozesses und eines Ätzprozesses ausgebildet werden.
  • Gemäß 9C werden Höckerbondingflächen 128 durch die Öffnungen 126 auf der obersten Metallisierungsschicht ausgebildet, und leitende Höcker 130 werden auf den Höckerbondingflächen 128 ausgebildet. Die leitenden Höcker 130 entsprechen im Allgemeinen den ersten Verbindungen 26 und den zweiten Verbindungen 28. Die Höckerbondingflächen 128 können durch Abscheiden eines leitenden Materials in die Öffnungen 126 und Strukturieren des leitenden Materials zu Höckerbondingflächen 128 ausgebildet werden. Das leitende Material kann Kupfer, Silber, Zinn, Titan, Wolfram, eine Kombination daraus und/oder Ähnliches umfassen, und durch PVD, CVD, ALD, eine Kombination daraus und/oder dergleichen abgeschieden werden. Das Strukturieren der Höckerbondingflächen 128 kann durch geeignete fotolithografische Techniken und Ätztechniken durchgeführt werden. Die leitenden Höcker 130 werden auf den Höckerbondingflächen 128 durch ECP und/oder Ähnlichem ausgebildet und können Kupfer, Zinn, Nickel, eine Kombination daraus und/oder Ähnliches umfassen.
  • Gemäß 9D werden erste Chips 18 und zweite Chips 20 mittels der leitenden Höcker 130 befestigt. Die ersten Chips 18 und die zweiten Chips 20 weisen jeweils Lücken zwischen sich auf, wobei jede Lücke einen Abstand 82 zwischen dem ersten Chip 18 und dem zweiten Chip 20 aufweist, wie mit Bezug auf die 4A und 4B oder 8A und 8B diskutiert wurde. Das Unterfüllmaterial 34 wird um die Höcker 130 und zwischen den Chips 18 und 20 und dem Zwischenchip 12 oder dem aktiven Chip abgegeben. Das Unterfüllmaterial 34 befindet sich auch in der Lücke zwischen den jeweiligen ersten Chips 18 und zweiten Chips 20.
  • Die Chips 18 und 20 können gemäß geeigneter Halbleiterbearbeitungstechniken und Vorrichtungsanforderungen behandelt werden. In manchen Ausführungsformen wird/ werden ein oder beide Chip(s) 18 und 20 gemäß der 6 hergestellt, also mit Überschusswafersubstratmaterial zwischen einer Chipkante und einem Dichtring. Die Chips 18 und 20 können herkömmliche Chips sein, die unter Verwendung eines Bestückungsautomaten angebracht wurden, und die leitenden Höcker 130 können wieder aufgeschmolzen werden, bevor das Unterfüllmaterial 34 zugeführt wird. Das Unterfüllmaterial 34 kann flüssiges Epoxid, verformbares Gel, Siliziumkautschuk, Trockenfilm, eine Kombination daraus und/ oder Ähnliches sein, das unter Verwendung geeigneter Abgabe- oder Beschichtungsvorrichtungen abgeschieden wurde.
  • Gemäß 9E werden, nachdem das Unterfüllmaterial 34 ausgehärtet ist, die Chips 18 und 20 beispielsweise unter Anwendung einer Pressmasse 134 und Verwendung von Formpressen umschlossen. Wenn sich die Pressmasse 134 über der oberen Oberfläche der Chips 18 und 20 befindet, kann die Pressmasse 134 zum Beispiel durch CMP eingeebnet werden, um die Oberfläche der Chips 18 und 20 freizulegen.
  • Im Folgenden wird beginnend mit der 9F die Bearbeitung der Rückseite des Substrats 13 beschrieben. Der Chip-auf-Wafer (CoW) der 9E wird während der Bearbeitung der Rückseite an einem Trägersubstrat 136 befestigt. Das Trägersubstrat 136 kann an den Chips 18 und 20 und/oder die Pressmasse 134 mittels eines Haftmittels oder Klebers befestigt werden. Im Allgemeinen bietet das Trägersubstrat 136 während der nachfolgenden Bearbeitungsschritte temporär mechanische und strukturelle Stabilität. Auf diese Weise werden Beschädigungen des Zwischenchips oder der Chips verringert oder verhindert. Das Trägersubstrat 136 kann beispielsweise Glas, Siliziumoxid, Aluminiumoxid, eine Kombination daraus und/oder Ähnliches umfassen. Das Haftmittel kann jedes geeignete Haftmittel sein, wie ein Ultraviolett(UV)-Kleber, der seine haftvermittelnde Eigenschaft verliert, wenn er UV-Licht ausgesetzt wird.
  • Gemäß 9F stehen nach Dünnen oder Ausdünnen des Substrats 13 die TSVs 14 über die Rückseite des Substrats 13 über. Der Dünnungsprozess kann unter Verwendung eines Ätzprozesses und/oder eines Planarisierungsprozesses, wie einem CMP-Prozess, durchgeführt werden. Zum Beispiel kann zunächst ein Planarisierungsprozess, wie CMP, durchgeführt werden, um zunächst die Barriereschicht der TSVs 14 freizulegen. Anschließend können ein oder mehrere Nassätzprozess(e), die eine hohe, selektive Ätzrate zwischen dem Material der Barriereschicht und dem Substrat 13 aufweisen, durchgeführt werden, wodurch die TSVs 14 aus der Rückseite des Substrats 13 überstehen, also aus dieser herausragen. Der Ätzprozess kann zum Beispiel auch ein Trockenätzprozess sein. Eine oder mehrere dielektrische Schicht(en), wie die in der 9F gezeigten dielektrischen Schichten 138 und 140, werden auf der Rückseite des Substrats 13 abgeschieden. Die dielektrischen Schichten 138 und 140 können beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, eine Kombination daraus und/oder Ähnliches umfassen. Die Rückseite wird dann beispielsweise durch CMP planarisiert, sodass die TSVs 14 auf der Rückseite freiliegen.
  • Die 9G zeigt das Ausbilden einer Passivierungsschicht 142, von Kugelbondingflächen 144 und von leitenden Kugeln 146. Die Passivierungsschicht 142 wird auf der Rückseite über den dielektrischen Schichten 138 und 140 ausgebildet und kann zum Beispiel Polyimid, BPSG, Polybenzoxazol (PBO), eine Kombination daraus und/oder Ähnliches umfassen, die durch eine "Spin-on"-Technik, CVD, ALD, eine Kombination daraus und/oder dergleichen ausgebildet wird. Öffnungen werden durch die Passivierungsschicht 142 ausgebildet, um beispielsweise zur Ausbildung der Kugelbondingflächen 144 die TSVs 14 freizulegen. Die Öffnungen können zum Beispiel unter Verwendung einer geeigneten fotolithografischen Technik und Ätztechnik ausgebildet werden. Die Kugelbondingflächen 144 können durch das Abscheiden eines leitenden Materials, wie eines Metalls, zum Beispiel eine oder mehr Lagen Chrom, eine Chrom-Kupfer-Legierung, Kupfer, Gold, Titan, Titan-Wolfram, Nickel, Kombinationen daraus oder dergleichen, in die Öffnung und das Strukturieren des leitenden Materials zu Kugelbondingflächen 144 ausgebildet werden. Das leitende Material kann durch ECP, Drucken und/oder Ähnliches abgeschieden werden und das Strukturieren kann durch geeignete fotolithografische Techniken und Ätztechniken erfolgen. Die leitenden Kugeln 146 werden auf den Kugelbondingflächen 144 durch ECP, Drucken und/oder Ähnliches ausgebildet und können Kupfer, Zinn, eutektisches Lötmittel, bleifreies Lötmittel, Nickel, eine Kombination daraus und/oder Ähnliches umfassen.
  • 9G zeigt die Kugelkontaktflächen 144 als direkt mit den TSVs 14 verbunden, jedoch können eine oder mehrere Metallisierungsschichten und IMD-Schichten auf der Rückseite des Substrats 13 ausgebildet sein, um die Kugelbondingflächen 144 mit den TSVs 14 elektrisch zu verbinden. Die Rückseitenmetallisierungsschichten können aus jedem geeigneten leitenden Material ausgebildet werden, wie Kupfer, Kupferlegierungen, Aluminium, Silber, Gold, Kombinationen daraus und/oder dergleichen, das durch jede geeignete Technik, wie ECP, stromloses Beschichten, anderen Abscheidungsverfahren wie Sputtern, Drucken, CVD, PVD, eine Kombination daraus und/oder dergleichen, ausgebildet werden kann.
  • Die Anordnung wird dann auf einem Schneidrahmen 148 oder Schneidgestell 148 befestigt, sodass die leitenden Kugeln 146 an dem Schneiderahmen 148 anliegen. Das Trägersubstrat 136 wird entfernt, wie in der 9H gezeigt. Die Anordnung wird dann zum Beispiel entlang den Schnittlinien 150 zu einzelnen Paketen geschnitten oder gesägt, die einen Zwischenchip und jede beliebige Anzahl von Chips umfasst, wie beispielsweise die Struktur 80 wie sie in der 4A, 8A oder 8B gezeigt ist.
  • Die hier gezeigten Ausführungsformen weisen verschiedene Vorteile auf. So kann eine Kontrolle der Waferkrümmung während der Verarbeitung nach dem Chip-Befestigungsprozess durch Einstellen des Chip-Zu-Chip-Abstands erreicht werden. Dies kann die Waferkrümmung herabsetzen, wodurch wiederum die Materialbeanspruchung der Struktur verringert wird, wodurch ein Brechen der TSV und/oder der Höcker und/oder eine Unterfüllmaterialablösung herabgesetzt werden kann. Die Erfindung ermöglicht somit ein größeres Prozessfenster und eine höhere (Ertrags-)Ausbeute. Auch kann die Waferhandhabung vereinfacht werden, da ein geringeres Risiko für Probleme besteht, die durch eine Krümmung hervorgerufen werden. Weiterhin können elektrische Leitungen zwischen Chips kürzer sein, wodurch geringere Widerstände und Kapazitäten ermöglicht werden.
  • Eine Ausführungsform der vorliegenden Erfindung stellt eine Struktur bereit, die ein Substrat, einen ersten Chip und einen zweiten Chip umfasst. Das Substrat weist eine erste Oberfläche und eine von der ersten Oberfläche abgewandte zweite Oberfläche auf. Das Substrat weist eine Substrat-Durchkontaktierung auf, die sich von der ersten Oberfläche zu der zweiten Oberfläche erstreckt. Der erste Chip ist auf dem Substrat befestigt und der erste Chip ist mit der ersten Oberfläche des Substrats verbunden. Der zweite Chip ist auf dem Substrat befestigt und der zweite Chip ist mit der ersten Oberfläche des Substrats verbunden. Ein erster Abstand besteht zwischen einer ersten Kante des ersten Chips und einer ersten Kante des zweiten Chips, und der erste Abstand liegt in einer Richtung parallel zu der ersten Oberfläche des Substrats. Der erste Abstand beträgt 200 Mikrometer oder weniger.
  • Eine andere Ausführungsform der vorliegenden Erfindung stellt eine Struktur bereit, die ein Substrat und mindestens zwei Chips umfasst. Das Substrat weist eine Substrat-Durchkontaktierung auf, die sich von einer ersten Oberfläche des Substrats erstreckt. Die mindestens zwei Chips sind jeweils mit der ersten Oberfläche des Substrats verbunden und die mindestens zwei Chips weisen einen mittleren Abstand zwischen benachbarten Chips der mindestens zwei Chips auf. Der mittlere Abstand liegt in einer Richtung parallel zu der ersten Oberfläche und der mittlere Abstand beträgt 200 Mikrometer oder weniger.
  • Eine andere Ausführungsform der vorliegenden Erfindung stellt eine Struktur bereit. Die Struktur umfasst ein Substrat, einen ersten Chip, einen zweiten Chip und ein Unterfüllmaterial. Das Substrat weist eine erste Oberfläche und eine von der ersten Oberfläche abgewandte zweite Oberfläche auf, und das Substrat weist eine Substrat-Durchkontaktierung auf, die sich von der ersten Oberfläche zu der zweiten Oberfläche erstreckt. Der erste Chip ist auf dem Substrat befestigt und der erste Chip ist mit der ersten Oberfläche des Substrats verbunden. Der zweite Chip ist auf dem Substrat befestigt und der zweite Chip ist mit der Oberfläche des Substrats verbunden. Ein erster Abstand besteht zwischen einer ersten Kante des ersten Chips und einer ersten Kante des zweiten Chips und die erste Kante des ersten Chips und die erste Kante des zweiten Chips sind erste benachbarte Chipkanten. Der erste Abstand beträgt 380 Mikrometer oder mehr. Das Unterfüllmaterial besteht zwischen der ersten Kante des ersten Chips und der zweiten Kante des zweiten Chips.
  • Eine weitere Ausführungsform der vorliegenden Erfindung stellt ein Verfahren bereit, das das Befestigen von mindestens zwei Chips an einer ersten Oberfläche eines Substrats umfasst. Das Substrat weist eine Substrat-Durchkontaktierung auf, die sich von der ersten Oberfläche erstreckt. Die mindestens zwei Chips weisen einen mittleren Abstand zwischen benachbarten Chips der mindestens zwei Chips auf und der mittlere Abstand liegt in einer Richtung parallel zu der ersten Oberfläche des Substrats. Der mittlere Abstand beträgt 200 Mikrometer oder weniger. Das Verfahren umfasst ferner das Behandeln einer zweiten Oberfläche des Substrats nach dem Befestigen der mindestens zwei Chips, wobei die zweite Oberfläche von der ersten Oberfläche abgewandt ist.

Claims (10)

  1. Eine Struktur mit: einem Substrat (13, 101) mit einer ersten Oberfläche und einer von der ersten Oberfläche abgewendeten zweiten Oberfläche, wobei das Substrat eine Substrat-Durchkontaktierung (14) aufweist, die sich von der ersten Oberfläche zur zweiten Oberfläche erstreckt; einem ersten Chip (18, 90), der auf dem Substrat befestigt ist, wobei der erste Chip (18, 90) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist; und einem zweiten Chip, der auf dem Substrat (13, 101) befestigt ist, wobei der zweite Chip (20) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist, wobei ein erster Abstand (40, 72, 82) zwischen einer ersten Kante des ersten Chips (18, 90) und einer ersten Kante des zweiten Chips (20) besteht, wobei der erste Abstand (40, 72, 82) in einer Richtung parallel zu der ersten Oberfläche des Substrats (13, 101) liegt und wobei der erste Abstand (40, 72, 82) 200 Mikrometer oder weniger beträgt.
  2. Struktur nach Anspruch 1, ferner mit einem dritten Chip (22), der auf dem Substrat (13, 101) befestigt ist, wobei der dritte Chip (22) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist, und wobei ein zweiter Abstand (42, 74) zwischen einer zweiten Kante des zweiten Chips (20) und einer ersten Kante des dritten Chips (22) besteht, wobei der zweite Abstand (42, 74) in einer Richtung parallel zu der ersten Oberfläche des Substrats (13, 101) liegt, und wobei eine Summe aus dem ersten Abstand (40, 72) und dem zweiten Abstand (42, 74) 250 Mikrometer oder weniger beträgt.
  3. Struktur nach Anspruch 2, ferner mit einem vierten Chip (24), der auf dem Substrat (13, 101) befestigt ist, wobei der vierte Chip (24) mit der Oberfläche des Substrats (13, 101) verbunden ist, und wobei ein dritter Abstand (44) zwischen einer zweiten Kante des dritten Chips (22) und einer ersten Kante des vierten Chips (24) besteht, und der dritte Abstand (44) in einer Richtung parallel zu der ersten Oberfläche des Substrats (13, 101) liegt und eine Summe aus dem ersten Abstand (40), dem zweiten Abstand (42) und dem dritten Abstand (44) 150 Mikrometer oder weniger beträgt.
  4. Struktur nach Anspruch 1, wobei das Substrat ein Zwischensubstrat (12) oder ein aktives Chipsubstrat (12) ist.
  5. Eine Struktur mit: einem Substrat (13, 101) mit einer ersten Oberfläche und einer von der ersten Oberfläche abgewandten zweiten Oberfläche, wobei das Substrat (13, 101) eine Substrat-Durchkontaktierung (14) aufweist, die sich von der ersten Oberfläche zur zweiten Oberfläche erstreckt; einem ersten Chip (18, 90), der auf dem Substrat (13, 101) befestigt ist, wobei der erste Chip (18, 90) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist; einem zweiten Chip (20), der auf dem Substrat (13, 101) befestigt ist, wobei der zweite Chip (10) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist, und ein erster Abstand (40, 72, 82) zwischen einer ersten Kante des ersten Chips (18, 90) und einer ersten Kante des zweiten Chips (20) besteht, wobei die erste Kante des ersten Chips (18, 90) und die erste Kante des zweiten Chips (20) erste benachbarte Chipkanten sind, und wobei der erste Abstand (40, 72, 82) 380 Mikrometer oder mehr beträgt; und einem Unterfüllmaterial (34) zwischen der ersten Kante des ersten Chips (18, 90) und der zweiten Kante des zweiten Chips (20).
  6. Struktur nach Anspruch 5, ferner mit einem dritten Chip (22), der auf dem Substrat (13, 101) befestigt ist, wobei der dritte Chip (22) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist, und ein zweiter Abstand (42, 74) zwischen einer zweiten Kante des zweiten Chips (10) und einer ersten Kante des dritten Chips (22) besteht, wobei die zweite Kante des zweiten Chips (20) und die erste Kante des dritten Chips (22) zweite benachbarte Chipkanten sind, und der zweite Abstand (42, 74) 380 Mikrometer oder mehr beträgt.
  7. Struktur nach Anspruch 6, ferner mit einem vierten Chip (24), der auf der Oberfläche des Substrats (13, 101) befestigt ist, wobei der vierte Chip (24) mit der ersten Oberfläche des Substrats (13, 101) verbunden ist, und ein dritter Abstand (44) zwischen einer zweiten Kante des dritten Chips (22) und einer ersten Kante des vierten Chips (24) besteht, die zweite Kante des dritten Chips (22) und die erste Kante des vierten Chips (24) dritte benachbarte Chipkanten sind, und der dritte Abstand (44) 380 Mikrometer oder mehr beträgt.
  8. Struktur nach Anspruch 5, wobei das Substrat (13, 101) ein Zwischenchipsubstrat (12) oder ein aktives Chipsubstrat (12) oder eine Kombination daraus ist.
  9. Ein Verfahren mit: Befestigen von mindestens zwei Chips (18, 20, 22, 24, 90) auf einer ersten Oberfläche eines Substrats (13, 101), wobei das Substrat (13, 101) eine Substrat-Durchkontaktierung (14) aufweist, die sich davon erstreckt, die mindestens zwei ersten Chips einen mittleren Abstand zwischen benachbarten Chips der mindestens zwei Chips aufweisen, wobei der mittlere Abstand in einer Richtung parallel zu der ersten Oberfläche des Substrats (13, 101) liegt und der mittlere Abstand 200 Mikrometer beträgt; und Bearbeiten und/oder Behandeln einer zweiten Oberfläche des Substrats (13, 101) nach dem Befestigen der mindestens zwei Chips (18, 20, 22, 24, 90), wobei die zweite Oberfläche von der ersten Oberfläche abgewendet ist.
  10. Verfahren nach Anspruch 9, wobei das Bearbeiten das Freilegen der Substrat-Durchkontaktierungen (14) durch die zweite Oberfläche des Substrats (13, 101) umfasst.
DE102012100796.9A 2011-08-30 2012-01-31 Verfahren zur Herstellung einer Halbleiterstruktur Active DE102012100796B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/221,447 2011-08-30
US13/221,447 US8963334B2 (en) 2011-08-30 2011-08-30 Die-to-die gap control for semiconductor structure and method

Publications (2)

Publication Number Publication Date
DE102012100796A1 true DE102012100796A1 (de) 2013-02-28
DE102012100796B4 DE102012100796B4 (de) 2020-03-12

Family

ID=47665328

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012100796.9A Active DE102012100796B4 (de) 2011-08-30 2012-01-31 Verfahren zur Herstellung einer Halbleiterstruktur

Country Status (5)

Country Link
US (2) US8963334B2 (de)
KR (1) KR101420855B1 (de)
CN (1) CN102969305B (de)
DE (1) DE102012100796B4 (de)
TW (1) TWI528505B (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8659144B1 (en) * 2011-12-15 2014-02-25 Marvell International Ltd. Power and ground planes in package substrate
US8933551B2 (en) * 2013-03-08 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D-packages and methods for forming the same
US10192810B2 (en) 2013-06-28 2019-01-29 Intel Corporation Underfill material flow control for reduced die-to-die spacing in semiconductor packages
JP6424610B2 (ja) 2014-04-23 2018-11-21 ソニー株式会社 半導体装置、および製造方法
CN105023877B (zh) 2014-04-28 2019-12-24 联华电子股份有限公司 半导体晶片、封装结构与其制作方法
US9842825B2 (en) * 2014-09-05 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Substrateless integrated circuit packages and methods of forming same
US9455243B1 (en) 2015-05-25 2016-09-27 Inotera Memories, Inc. Silicon interposer and fabrication method thereof
US10957672B2 (en) * 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10796976B2 (en) * 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US20200168527A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manfacturing Co., Ltd. Soic chip architecture
CN111725185A (zh) * 2019-03-04 2020-09-29 苏州多感科技有限公司 图像传感器及其制备方法、图像识别方法、电子设备
US11114360B1 (en) 2019-09-24 2021-09-07 Xilinx, Inc. Multi-die device structures and methods
US11205639B2 (en) * 2020-02-21 2021-12-21 Xilinx, Inc. Integrated circuit device with stacked dies having mirrored circuitry
US11482497B2 (en) * 2021-01-14 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a first die and a second die and a bridge die and method of forming the package structure
US11973040B2 (en) * 2021-04-23 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer with warpage-relief trenches

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4695870A (en) * 1986-03-27 1987-09-22 Hughes Aircraft Company Inverted chip carrier
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5510273A (en) * 1995-04-03 1996-04-23 Xerox Corporation Process of mounting semiconductor chips in a full-width-array image
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US6087199A (en) * 1998-02-04 2000-07-11 International Business Machines Corporation Method for fabricating a very dense chip package
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6461895B1 (en) 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
KR100364635B1 (ko) 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
KR100435813B1 (ko) 2001-12-06 2004-06-12 삼성전자주식회사 금속 바를 이용하는 멀티 칩 패키지와 그 제조 방법
DE10200399B4 (de) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
TW578282B (en) * 2002-12-30 2004-03-01 Advanced Semiconductor Eng Thermal- enhance MCM package
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
KR100621992B1 (ko) 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
JP4128945B2 (ja) * 2003-12-04 2008-07-30 松下電器産業株式会社 半導体装置
TWI236747B (en) * 2004-03-12 2005-07-21 Advanced Semiconductor Eng Manufacturing process and structure for a flip-chip package
KR100570514B1 (ko) 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
KR100618837B1 (ko) 2004-06-22 2006-09-01 삼성전자주식회사 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US7468545B2 (en) 2005-05-06 2008-12-23 Megica Corporation Post passivation structure for a semiconductor device and packaging process for same
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US20080002460A1 (en) * 2006-03-01 2008-01-03 Tessera, Inc. Structure and method of making lidded chips
TWI331391B (en) * 2007-03-20 2010-10-01 Siliconware Precision Industries Co Ltd Stackable semiconductor device and fabrication method thereof
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
KR101213175B1 (ko) 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
US8222079B2 (en) * 2007-09-28 2012-07-17 International Business Machines Corporation Semiconductor device and method of making semiconductor device
US9660153B2 (en) * 2007-11-14 2017-05-23 Cree, Inc. Gap engineering for flip-chip mounted horizontal LEDs
DE102008021402B4 (de) * 2008-04-29 2023-08-10 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Oberflächenmontierbares Leuchtdioden-Modul und Verfahren zur Herstellung eines oberflächenmontierbaren Leuchtdioden-Moduls
US7915080B2 (en) * 2008-12-19 2011-03-29 Texas Instruments Incorporated Bonding IC die to TSV wafers
TWI455263B (zh) * 2009-02-16 2014-10-01 Ind Tech Res Inst 晶片封裝結構及晶片封裝方法
US8689437B2 (en) * 2009-06-24 2014-04-08 International Business Machines Corporation Method for forming integrated circuit assembly
JP5532744B2 (ja) * 2009-08-20 2014-06-25 富士通株式会社 マルチチップモジュール及びマルチチップモジュールの製造方法
JP5635247B2 (ja) 2009-08-20 2014-12-03 富士通株式会社 マルチチップモジュール
US10276486B2 (en) * 2010-03-02 2019-04-30 General Electric Company Stress resistant micro-via structure for flexible circuits
US8218334B2 (en) * 2010-03-09 2012-07-10 Oracle America, Inc. Multi-chip module with multi-level interposer
US8313982B2 (en) * 2010-09-20 2012-11-20 Texas Instruments Incorporated Stacked die assemblies including TSV die
US9412708B2 (en) * 2011-01-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced ESD protection of integrated circuit in 3DIC package
US8779553B2 (en) * 2011-06-16 2014-07-15 Xilinx, Inc. Stress-aware design for integrated circuits comprising a stress inducing structure and keep out zone

Also Published As

Publication number Publication date
TWI528505B (zh) 2016-04-01
KR20130024695A (ko) 2013-03-08
US20130049216A1 (en) 2013-02-28
US20150125994A1 (en) 2015-05-07
US10157879B2 (en) 2018-12-18
TW201310586A (zh) 2013-03-01
CN102969305B (zh) 2015-10-07
DE102012100796B4 (de) 2020-03-12
CN102969305A (zh) 2013-03-13
US8963334B2 (en) 2015-02-24
KR101420855B1 (ko) 2014-07-18

Similar Documents

Publication Publication Date Title
DE102012100796B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur
DE102015106576B4 (de) Halbleitervorrichtung mit ausgesparten rändern und herstellungsverfahren
DE102018116743A1 (de) Halbleiter-Bauelement und Verfahren
DE102015113185B4 (de) SMD/IPD auf Gehäuse oder Vorrichtungsstruktur und Verfahren zu Ihrer Ausbildung
DE102011056315B4 (de) Halbleiterbauelement und Verfahren zu dessen Herstellung
DE102019130567A1 (de) Package mit brücken-die zum verbinden und verfahren zu dessen herstellung
DE102018130035B4 (de) Package und verfahren
DE10234208A1 (de) Waferlevel-Stapelchippackung und Herstellungsverfahren hierfür
DE102019109690A1 (de) Halbleiterstrukturen und Verfahren zu deren Herstellung
DE102017117810A1 (de) Umverteilungsschichten in halbleiter-packages und verfahren zu deren herstellung
DE102015105855A1 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
DE102019103729B4 (de) Halbleiter-package und verfahren
DE102019117762A1 (de) Integriertes schaltungspackage und verfahren
DE112013007166B4 (de) Bewahrung von Umverteilungsleitungen feiner Teilung
DE112013007038T5 (de) Zwischenverbindungsstruktur umfassend Metall-Rückseiten-Umverteilungsleitungen mit sehr kleinem Teilungsabstand kombiniert mit Durchkontaktierungen
DE102019117763A1 (de) Seitenwandschutz für metallkontakthügel
DE102016100523B4 (de) Multi-Stack-Package-on-Package-Strukturen
DE102016101089B4 (de) Mehrfachaufprallprozess zum Bonden
DE102019117199A1 (de) Fan-out-packages und verfahren zu deren herstellung
DE102018106434A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102020114002A1 (de) Package-struktur
DE102020119295A1 (de) Halbleiterbauelemente und verfahren zur herstellung
DE102016114814B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102014115105A1 (de) Verbindungsstruktur mit Begrenzungsschicht
DE102020115150B4 (de) Giga-interposer-integration durch chip-on-wafer-on-substrat und verfahren zur bildung von chip-on-wafer-on-substrate-paketen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final