DE112013007166B4 - Bewahrung von Umverteilungsleitungen feiner Teilung - Google Patents

Bewahrung von Umverteilungsleitungen feiner Teilung Download PDF

Info

Publication number
DE112013007166B4
DE112013007166B4 DE112013007166.5T DE112013007166T DE112013007166B4 DE 112013007166 B4 DE112013007166 B4 DE 112013007166B4 DE 112013007166 T DE112013007166 T DE 112013007166T DE 112013007166 B4 DE112013007166 B4 DE 112013007166B4
Authority
DE
Germany
Prior art keywords
rdl
additional
line
sidewalls
seed layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112013007166.5T
Other languages
English (en)
Other versions
DE112013007166T5 (de
Inventor
Kevin J. Lee
Hiten Kothari
Wayne M. Lytle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112013007166T5 publication Critical patent/DE112013007166T5/de
Application granted granted Critical
Publication of DE112013007166B4 publication Critical patent/DE112013007166B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature
    • H01L2224/03019Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature for protecting parts during the process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0382Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/05576Plural external layers being mutually engaged together, e.g. through inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/13565Only outside the bonding interface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Halbleitervorrichtung, umfassend:
eine Umverteilungsschicht, RDL, einschließlich einer strukturierten RDL-Leitung (305) mit zwei RDL-Seitenwänden, wobei die RDL ein Material umfasst;
Schutzseitenwände (304), die die zwei RDL-Seitenwände direkt berühren, aber die obersten Teile der beiden RDL-Seitenwände nicht direkt berühren;
eine Keimschicht (306), die das Material einschließt; und
eine Barriereschicht (307);
wobei (a) die RDL-Leitung (305) eine RDL-Leitungsbreite orthogonal zu und sich erstreckend zwischen den zwei RDL-Seitenwänden aufweist, und (b) die Keimschicht (306) und die Barriereschicht (307) jeweils eine Breite parallel zur RDL-Leitungsbreite und breiter als die RDL-Leitungsbreite aufweisen.

Description

  • Technisches Gebiet
  • Eine Ausführungsform schließt Halbleiterherstellung und genauer Bewahren von Maßen von Umverteilungsschichten während Herstellung derselben ein.
  • Hintergrund
  • Mit einem Die verbundene Durchkontaktierungen (Through-silicon vias, TSV) sind möglicherweise nicht auf Kontakte (z. B. Bondpads) des Die ausgerichtet. In derartigen Fällen kann eine elektrisch leitende Umverteilungsschicht (Redistribution Layer, RDL), wie eine Metallschicht, auf der Rückseite des Die oder der Vorrichtungsseite des Die gebildet werden. Die Bildung kann fotolithographische Verfahren einschließen, wobei, zum Beispiel, ein Kupfermaterial auf einer Rückseitenfläche eines Die abgeschieden wird. Mittels einer Maske wird dann die RDL definiert und ein Muster geätzt, sodass die RDL Finger/Vorsprünge bekommt, die sich seitlich von TSVs zu einer gewünschten Position für elektrischen Kontakt mit Kontaktpunkten (z. B. C4-Kontaktierhügel, Kontaktpads) des Die oder eines anderen Die erstrecken. Eine RDL kann zum Beispiel durch Lötverbindungen mit den Kontaktpunkten eines Die verbunden werden.
  • US 2010 / 0 105 203 A1 beschreibt ein Verfahren zur Bearbeitung eines Substrats, welches das Bereitstellen eines Substrats in einer Prozesskammer umfasst, die eine dielektrische Schicht mit einem darin gebildeten Strukturelement umfasst. Innerhalb des Strukturelements kann eine Barriereschicht gebildet werden. Auf der Barriereschicht kann eine Beschichtung aus einem ersten leitfähigen Material gebildet werden. Auf der Beschichtung kann eine Keimschicht aus dem ersten leitfähigen Material gebildet werden. Das Merkmal kann mit einem zweiten leitfähigen Material gefüllt werden.
  • US 2011 / 0 260 317 A1 beschreibt einen Kupfer-Pillar-Bump, der eine Seitenwandschutzschicht aufweist, die aus einer elektrolytischen Metallschicht besteht. Die elektrolytische Metallschicht ist eine elektrolytische Nickelschicht, eine elektrolytische Goldschicht und eine elektrolytische Kupferschicht oder eine elektrolytische Silberschicht.
  • US 5 242 861 A beschreibt ein Verfahren zur Herstellung einer Halbleitervorrichtung mit einer mehrschichtigen Verdrahtungsstruktur, bei dem ein Siliziumoxidfilm auf der Oberfläche von Verdrahtungsleitern der zweiten Ebene abgeschieden wird, die auf einer Stromversorgungsschicht zum Galvanisieren ausgebildet sind. Dann wird der abgeschiedene Siliziumoxidfilm zurückgeätzt, so dass der Siliziumoxidfilm nur auf den Seitenflächen der Verdrahtungsleiter der zweiten Ebene verbleibt. Danach wird die Stromversorgungsschicht durch Sputterätzen entfernt, und der Siliziumoxidfilm wird zusammen mit der Metallablagerung, die zum Zeitpunkt des Sputterätzens an der Oberfläche des Siliziumoxidfilms haftete, durch Ätzen entfernt.
  • Die Erfindung ist definiert durch eine Vorrichtung mit den Merkmalen gemäß dem Hauptanspruch, eine Halbleitervorrichtung mit den Merkmalen gemäß dem nebengeordneten Anspruch 15 und ein Verfahren mit den Merkmalen gemäß dem nebengeordneten Anspruch 20.
  • Kurze Beschreibung der Figuren
  • Merkmale und Vorteile der Ausführungsformen der vorliegenden Erfindung werden ersichtlich aus den beigefügten Ansprüchen, der folgenden genauen Beschreibung einer oder mehrerer Beispielsausführungsformen und den entsprechenden Figuren, wobei:
    • 1 eine Halbleitervorrichtung mit einer strukturierten RDL-Leitung einschließt.
    • 2 eine Halbleitervorrichtung einschließt, die eine physisch reduzierte Version der strukturierten RDL-Leitung von 1 einschließt.
    • 3 eine Halbleitervorrichtung einschließt, die eine strukturierte RDL in in einer nicht nicht unter den Wortlaut der Ansprüche fallenden Ausführungsform einschließt.
    • 4 eine Halbleitervorrichtung einschließt, die eine strukturierte RDL nach RDL-Seitenwandschutzschichtabscheidung in einer nicht nicht unter den Wortlaut der Ansprüche fallenden Ausführungsform einschließt.
    • 5 eine Halbleitervorrichtung einschließt, die eine strukturierte RDL nach RDL-Seitenwandschutzschichtätzung in einer Ausführungsform der Erfindung einschließt.
    • 6 eine Halbleitervorrichtung einschließt, die eine strukturierte RDL nach Keimschichtätzung in einer nicht nicht unter den Wortlaut der Ansprüche fallenden Ausführungsform einschließt.
    • 7 eine Halbleitervorrichtung einschließt, die eine strukturierte RDL nach Barriereschichtätzung in einer nicht nicht unter den Wortlaut der Ansprüche fallenden Ausführungsform einschließt.
    • 8 ein Halbleitervorrichtungsherstellungsverfahren in einer Ausführungsform der Erfindung einschließt.
    • 9 ein System für Gebrauch mit einer Ausführungsform der Erfindung einschließt.
  • Genaue Beschreibung
  • Es wird nun auf die Figuren Bezug genommen, wobei gleichartige Strukturen mit gleichartigen Suffixbezugsbezeichnungen versehen sein können. Um die Strukturen der verschiedenen Ausführungsformen deutlicher zu zeigen, sind die hierin enthaltenen Zeichnungen schematische Darstellungen von Halbleiter-/Schaltungsstrukturen. Daher kann das tatsächliche Aussehen der gefertigten integrierten Schaltungsstrukturen, zum Beispiel in einer Mikroaufnahme, unterschiedlich erscheinen, während sie dennoch die beanspruchten Strukturen der dargestellten Ausführungsformen enthalten. Darüber hinaus können in den Figuren nur solche Strukturen gezeigt sein, die für das Verständnis der dargestellten Ausführungsformen nützlich sind. Zusätzliche aus dem Stand der Technik bekannte Strukturen sind möglicherweise nicht eingeschlossen, um die Klarheit der Zeichnungen zu bewahren. Es ist zum Beispiel nicht unbedingt jede Schicht einer Halbleitervorrichtung gezeigt. „Eine Ausführungsform“, „verschiedene Ausführungsformen“ und dergleichen bedeuten, dass die in der Weise beschriebene(n) Ausführungsform(en) bestimmte Merkmale, Strukturen oder Eigenschaften einschließen können, aber nicht, dass jede Ausführungsform unbedingt die besonderen Merkmale, Strukturen oder Eigenschaften einschließen muss. Manche Ausführungsformen können einige, alle oder keine der Merkmale aufweisen, die für andere Ausführungsformen beschrieben sind. „Erstes“, „zweites“, „drittes“ und dergleichen beschreiben ein gemeinsames Objekt und weisen darauf hin, dass auf verschiedene Instanzen gleicher Objekte Bezug genommen wird. Derartige Adjektive implizieren nicht, dass in der Weise beschriebene Objekte zeitlich, räumlich, in einer Rangordnung oder auf irgendeine andere Weise in einer gegebenen Folge vorliegen müssen. „Verbunden“ kann auf Elemente hinweisen, die in direktem physischen oder elektrischen Kontakt miteinander stehen, und „gekoppelt“ kann auf Elemente hinweisen, die miteinander zusammenarbeiten oder interagieren, aber sie können oder können nicht in direktem physischen oder elektrischen Kontakt stehen. Ferner, obwohl ähnliche oder dieselben Zahlen verwendet werden können, um dieselben oder ähnliche Teile in verschiedenen Figuren zu bezeichnen, bedeutet ein derartiges Vorgehen nicht, dass alle Figuren, die ähnliche oder dieselben Zahlen einschließen, eine einzelne oder dieselbe Ausführungsform darstellen.
  • Eine Ausführungsform schließt Herstellen einer oder mehrerer Schutzseitenwände an einer oder mehreren Kanten von dicken Metall-RDL-Leitungen sehr feiner Teilung ein. Die in einem Seitenwandfilm eingeschlossenen Schutzseitenwände schützen die Seiten der dicken Metallleitungen sehr feiner Teilung vor chemischen Angriffen während des Keimschichtätzung-Prozessschritts, der in einem „semi-additiven“ Prozessfluss erfolgt. Leitungsbreitenverlust, der während des Keimschichtätzens wegen chemischer Angriffe auftritt, wird dadurch eliminiert oder stark reduziert. Dies bedeutet einen großen Vorteil für die Herstellung der Umverteilungsleitung sehr feiner Teilung, bei der ein Leitungsbreitenverlust während des Keimschichtätzens von etwa 0,5 um einen großen Prozentsatz der Gesamtleitungsbreite darstellt, wenn die Umverteilungsleitungen schmäler als 4,0 um sind. Daher schließt eine Ausführungsform Herstellung eines Schutzfilms an den Seitenwänden der Metallleitungen sehr feiner Teilung ein, wodurch Leitungsbreitenverlust während des Keimschichtätzungsprozesses vermieden wird.
  • 1 schließt eine Halbleitervorrichtung mit einer strukturierten RDL-Leitung ein. Eine strukturierte Kupfer(Cu)-RDL-Schicht bildet die RDL-Leitung 105. Die RDL-Leitung 105 wird über der RDL-Cu-Keimschicht 106, der RDL-Barriereschicht 107, der Passivierungsschicht (z. B. Nitrid) 108, der letzten Metallschicht in einem Damaszener Verbindungsstapel 109 und dem Substrat 110 gebildet. Eine Barriereschicht kann die Abschnitte der Vorrichtung auskleiden, um Ionenmigration von Metallleitungen während Betriebs der fertigen Vorrichtung zu vermeiden. Die Barriereschicht kann zum Beispiel Tantal (Ta) einschließen, wo die Metallleitungen aus Kupfer bestehen sollen.
  • Die Vorrichtung von 1 wurde über einen „semi-additiven“ Prozess gebildet. Bei einem „semi-additiven“ Prozess weist die unstrukturierte RDL eine dünne Keimschicht (z. B. Cu) auf. Es wird dann eine Reversmaske aufgebracht, die Teile der RDL-Keimschicht freilegt, die schließlich zu den RDL-Leitungen werden. Zusätzliches Cu wird dann der Keimschicht in den unmaskierten Bereichen hinzugefügt, um die RDL-Leitungen zu bilden. Die Maske wird abgelöst, und Ätzen entfernt das nun freigelegte blanke Original-Keim-Cu, wodurch die einzelnen RDL-Leitungen/Fäden isoliert werden.
  • Um ausreichende Haftung zwischen verschiedenen Materialien sicherzustellen, kann anfänglich eine Keimschicht abgeschieden werden. Die Keimschicht schließt allgemein das gleiche Metall ein, aus dem die Metallleitungen zu bilden sind. Alternativ kann eine Legierung des Metalls zum Bilden der Keimschicht verwendet werden. Die Keimschicht ist allgemein recht dünn. Die Keimschicht kann zum Beispiel eine Dicke von zwischen ungefähr 10 Angström und ungefähr 3.000 Angström aufweisen. Vergleichsweise können die kompletten zu bildenden Metallleitungen Fotolacktrenches (nicht gezeigt und zum Zeitpunkt des in 1 gezeigten Prozesses bereits entfernt) füllen und können zwischen ungefähr 0,5 Mikrometer und ungefähr 20 Mikrometer hoch, und zwischen ungefähr 0,25 Mikrometer und ungefähr 7 Mikrometer breit sein. Die Keimschicht kann zum Beispiel durch Atomlagenabscheidung (Atomic Layer Deposition, ALD) oder physikalische Gasphasenabscheidung (Physical Vapor Deposition, PVD) abgeschieden werden. Auf diese Weise werden dünne und gleichmäßige Keimschichten gebildet, die an Silizium oder andere Materialien, die die Trenches definieren, anhaften. Zusätzlich kann die Keimschicht ähnliches Material wie das der RDL-Leitungen einschließen. Beispielsweise können sowohl die Keimschicht als auch die Metallleitungen Kupfer einschließen. Daher entspricht das Material, aus dem der Rest der Metallleitungen zu bilden ist, in angemessener Weise der Keimschicht bei Abscheidung auf dieser, und haftet daran an. Die Keimschicht fungiert daher als eine adhäsive Schnittstelle zwischen den Materialien des Substrats oder der Barriereschicht und den Metallleitungen.
  • 2 schließt eine physisch reduzierte Version der strukturierten RDL-Leitung von 1 ein. Im Besonderen wird die Vorrichtung von 1 mit einer Kupferkeimschicht 106-Ätzung gefolgt von einer Barriereschicht 107-Ätzung hergestellt. Während des Kupferkeimschichtätzungsschritts nehmen sowohl die Höhe als auch die Breite der dicken Metall-RDL-Leitungen ab, wie am gepunkteten Profil 111 zu sehen ist, das die ursprünglichen Abmessungen einer der RDL-Leitungen verdeutlicht.
  • 3 zeigt eine nicht nicht unter den Wortlaut der Ansprüche fallende Halbleitervorrichtung, die eine strukturierte RDL einschließt. Wie 1 schließt 3 eine Halbleitervorrichtung mit einer strukturierten RDL-Leitung ein. Eine strukturierte Cu-RDL-Schicht bildet die RDL-Leitung 305. Die RDL-Leitung 305 wird über der RDL-Cu-Keimschicht 306, der RDL-Barriereschicht 307, der Passivierungsschicht (z. B. Nitrid) 308, der letzten Metallschicht in einem Damaszener Verbindungsstapel 309 und dem Substrat 310 gebildet. Die Vorrichtung von 3 wurde über einen „semi-additiven“ Prozess gebildet.
  • 4 schließt eine Halbleitervorrichtung ein, die eine strukturierte RDL nach RDL-Seitenwandschutzschichtabscheidung einschließt (siehe auch Block 801 des Verfahrens 800 in 8). Beispielsweise startet eine Ausführungsform des Verfahrens mit einem Wafer, der bis zu dem Punkt gefertigt worden ist, an dem die strukturierten dicken Kupfer-Metallumverteilungsleitungen gebildet sind, die Kupferkeimschichtätzung jedoch noch nicht durchgeführt worden ist. Dann wird, wie in 4 zu sehen ist, ein Seitenwandschutzfilm 304 über die gesamte Waferoberfläche abgeschieden. Bei einer Ausführungsform schließt der Film Siliziumnitrid ein, andere Materialien wie Siliziumkarbid, Siliziumoxid, Siliziumoxinitrid und verschiedene Polymere sind jedoch ebenfalls geeignet und bei verschiedenen anderen Ausführungsformen eingeschlossen.
  • 5 zeigt eine nicht unter den Wortlaut der Ansprüche fallende Halbleitervorrichtung mit einer strukturierten RDL nach RDL-Seitenwandschutzschichtätzung (siehe auch Block 802 in 8). Beispielsweise wird ein anisotroper Plasmaätzprozess zum Entfernen des Seitenwandschutzfilms 304 von horizontalen Flächen verwendet, während die vertikalen Flächen der RDL-Leitung 305 bedeckt bleiben. Auf diese Weise wird die Kupferkeimschicht 306 zwischen den dicken Kupfer-Metall-RDL-Leitungen (nur eine davon wird als Leitung 305 aufgezählt) freigelegt, während die Seitenwände der dicken Metall-RDL-Leitungen bedeckt bleiben.
  • 6 zeigt eine nicht unter den Wortlaut der Ansprüche fallende Halbleitervorrichtung mit einer strukturierten RDL nach Keimschichtätzung (siehe auch Block 803 in 8). Beispielsweise wird ein isotroper Nassätzprozess zum Abätzen der Kupferkeimschicht 306 zwischen dicken Kupfer-Metall-RDL-Leitungen verwendet. Da die RDL-Leitungen mit dem Seitenwandschutzfilm 304 bedeckt sind, tritt keine (oder eine sehr geringe) Ätzwirkung an den RDL-Seitenwänden auf, weshalb geringer bis kein Leitungsbreitenverlust während des Kupferkeimschichtätzprozesses von 6 entsteht. Geeignete Kupfernassätzmittel schließen zum Beispiel eisenchloridbasierte Ätzmittel und Schwefelsäure/Wasserstoffperoxid-Mischungen ein.
  • 7 zeigt eine nicht unter den Wortlaut der Ansprüche fallende Halbleitervorrichtung mit einer strukturierten RDL nach Barriereschichtätzung (siehe auch Block 804 in 8). Der Barriereschichtfilm 307 wird zum Beispiel mittels eines nasschemischen oder eines Plasmaätzprozesses geätzt. Bei einer Ausführungsform schließt der Barrierefilm 307 Titan (Ti) ein, andere geeignete Barrierefilme schließen bei anderen Ausführungsformen jedoch Tantal (Ta), Chrom (Cr) und dergleichen ein. 7 zeigt eine Struktur, bei der sich ein Schutzseitenwandfilm 304 an den Seiten der dicken Metall-RDL-Leitungen 305 feiner Teilung befindet, um die Seitenwände vor chemischen Angriffen während des Kupferkeimschichtätzens zu schützen.
  • Die RDL-Leitung 305 hat eine RDL-Leitungsbreite 311 orthogonal zu und sich erstreckend zwischen den RDL-Seitenwänden 304', 304". Keimschicht 306 und Barriereschicht 307 schließen jeweils eine Breite parallel zu der und breiter als die RDL-Leitungsbreite 311 ein. Keimschicht 306 schließt vertikal auf die untersten Kanten der Schutzseitenwände 304', 304" ausgerichtete Kanten ein. Die Barriereschicht 307 schließt eine vertikal auf die Kanten der Keimschicht 306 und auf die untersten Kanten der Schutzseitenwände 304', 304" ausgerichtete Kante ein.
  • In 7 weist die zusätzliche strukturierte RDL-Leitung 325, die in der gleichen RDL wie die RDL-Leitung 305 eingeschlossen ist, zusätzliche RDL-Seitenwände und zusätzliche Schutzseitenwände 324', 324" auf, die die zusätzlichen RDL-Seitenwände direkt berühren. Ferner schließt eine zusätzliche Keimschicht 326, die koplanar zur Keimschicht 306 ist, dasselbe Material wie die RDL-Leitung 325 ein. Weiterhin ist eine zusätzliche Barriereschicht 327 koplanar zur Barriereschicht 307. Die zusätzliche RDL-Leitung weist eine zusätzliche RDL-Leitungsbreite auf, und die zusätzliche Keim- und Barriereschicht schließen jeweils eine Breite parallel zu der und breiter als die zusätzliche RDL-Leitungsbreite ein. Zum Beispiel können sich die Keim- und Barriereschicht 326, 327 zu den Außenkanten der Schutzseitenwände 324', 324" erstrecken.
  • Bei einer Ausführungsform besteht kein dielektrisches Material und keine andere strukturierte RDL-Leitung zwischen den dargestellten Abschnitten der RDL-Leitungen 305, 325. Dies kann im Gegensatz zu Dual-Damascene-Prozessen stehen. Daher kann ein leerer Hohlraum 331 zwischen den Leitungen 305, 325 vorhanden sein. Der Hohlraum 331 wird von der Achse 330 geschnitten, die die RDL-Leitungen 305, 325 verbindet (zu Veranschaulichungszwecken). Bei einer Ausführungsform schließt der Hohlraum 330 kein dielektrisches Material ein. So können die RDL-Leitungen 305, 325 eine äußere Außenseite oder Grenze für die Vorrichtung bilden, ohne ein mit den Oberseiten der Leitungen eben planarisiertes Dielektrikum einzuschließen.
  • Wie in 7 gezeigt, berühren sich die Keimschichten 306, 326 gegenseitig nicht direkt, und die Barriereschichten 307, 327 berühren sich gegenseitig nicht direkt. Bei einer Ausführungsform beträgt die RDL-Leitungsbreite 311 weniger als 5 Mikrometer, aber bei anderen Ausführungsform beträgt sie weniger als 7, 6, 4, 3, oder 2 Mikrometer.
  • Hierin kann eine RDL-Leitung manchmal als eine RDL-Schicht bezeichnet werden. Eine derartige Bezeichnung bedeutet aber nur, dass viele der RDL-Leitungen aus einer einzelnen Schicht gebildet sind. Nachdem die RDL-Schicht strukturiert worden ist, kann sie viele RDL-Leitungen einschließen.
  • Eine Ausführungsform wie die Ausführungsform von 7 ermöglicht kostengünstige Herstellung von dicken Metallleitungen sehr feiner Teilung mit Abständen von unter 4 um Leitungen/Raum (Lines/Space, L/S). Dies bietet Vorteile gegenüber Damaszener Prozessabläufen, die Schritte des chemisch-mechanischen Polierens/Planierens (Chemical Mechanical Polishing/Planarization, CMP) bei Kupfer- und Barriereschichten erfordern können, die wesentlich teurer als hier beschriebene „semi-additive“ Prozesse sind.
  • Unter jetziger Bezugnahme auf 9 ist ein Blockdiagramm einer Systemausführungsform 1000 gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. Gezeigt ist ein Multiprozessorsystem 1000, das ein erstes Verarbeitungselement 1070 und ein zweites Verarbeitungselement 1080 einschließt. Obwohl zwei Verarbeitungselemente, 1070 und 1080 gezeigt sind, wird darauf hingewiesen, dass eine Ausführungsform des Systems 1000 auch nur ein derartiges Verarbeitungselement einschließen kann. System 1000 ist als ein Punkt-zu-Punkt-Verbindungssystem dargestellt, wobei das erste Verarbeitungselement 1070 und das zweite Verarbeitungselement 1080 über eine Punkt-zu-Punkt-Verbindung 1050 gekoppelt sind. Es wird darauf hingewiesen, dass eine oder alle der dargestellten Verbindungen im Gegensatz zu einer Punkt-zu-Punkt-Verbindung als ein Multidrop-Bus implementiert werden können. Wie gezeigt, können jedes der Verarbeitungselemente 1070 und 1080 Multikernprozessoren sein, die erste und zweite Prozessorkerne (d. h. Prozessorkerne 1074a und 1074b sowie Prozessorkerne 1084a and 1084b) einschließen. Derartige Kerne 1074, 1074b, 1084a, 1084b können zum Ausführen von Anweisungscode auf eine Weise konfiguriert sein, die den hier erörterten Verfahren ähnlich ist.
  • Jedes Verarbeitungselement 1070, 1080 kann wenigstens einen gemeinsamen Cache einschließen. Der gemeinsame Cache kann Daten (z. B. Anweisungen) speichern, die von einer oder mehreren Komponenten des Prozessors genutzt werden, wie jeweils von den Kernen 1074a, 1074b and 1084a, 1084b. Beispielsweise kann der gemeinsame Cache in einem Speicher 1032, 1034 abgelegte Daten für schnelleren Zugriff durch Komponenten des Prozessors lokal zwischenspeichern. Bei einer oder mehreren Ausführungsformen kann der gemeinsame Cache einen oder mehrere Mid-Level-Caches einschließen, wie Level 2 (L2), Level 3 (L3), Level 4 (L4), oder andere Cache-Level, einen Last-Level-Cache (LLC) und/oder Kombinationen davon.
  • Obwohl nur mit zwei Verarbeitungselementen 1070, 1080 gezeigt, wird darauf hingewiesen, dass der Umfang der vorliegenden Erfindung nicht auf diese Weise begrenzt ist. Bei anderen Ausführungsformen können ein oder mehrere zusätzliche Verarbeitungselemente bei einem gegebenen Prozessor vorhanden sein. Alternativ können ein oder mehrere Verarbeitungselemente 1070, 1080 ein anderes Element als ein Prozessor sein, wie ein Beschleuniger oder eine im Feld programmierbare Gatter-Anordnung. Zum Beispiel, ein oder mehrere zusätzliche Verarbeitungselemente können einen oder mehrere zusätzliche Prozessoren, die mit dem ersten Prozessor 1070 identisch sind, einen oder mehrere zusätzliche Prozessoren, die heterogen oder asymmetrisch zum ersten Prozessor 1070 sind, Beschleuniger (wie z. B. Grafikbeschleuniger oder Digitalsignalverarbeitungseinheiten (Digital Signal Processing, DSP)), im Feld programmierbare Gatter-Anordnungen oder jedes andere Verarbeitungselement einschließen. Es kann eine Vielzahl von Unterschieden zwischen den Verarbeitungselementen 1070, 1080 hinsichtlich eines Spektrums von Vorzugsmetriken einschließlich architektonischer, mikroarchitektonischer, thermischer Eigenschaften, Leistungsaufnahmeeigenschaften und dergleichen bestehen. Diese Unterschiede können sich effektiv als Asymmetrie und Heterogenität unter den Verarbeitungselementen 1070, 1080 manifestieren. Bei wenigstens einer Ausführungsform können die verschiedenen Verarbeitungselemente 1070, 1080 im gleichen Die-Package untergebracht sein.
  • Das erste Verarbeitungselement 1070 kann weiterhin die Speicher-Controller-Logik 1072 (Memory Controller, MC) und die Punkt-zu-Punkt(P-P)-Schnittstellen 1076 und 1078 einschließen. Ähnlich kann das zweite Verarbeitungselement 1080 eine MC 1082 sowie die P-P-Schnittstellen 1086 und 1088 einschließen. MCs 1072 und 1082 koppeln die Prozessoren mit entsprechenden Speichern, nämlich mit einem Speicher 1032 und mit einem Speicher 1034, die lokal mit den jeweiligen Prozessoren verknüpfte Teile des Hauptspeichers sein können. Obwohl die MC-Logik 1072 und 1082 als in die Verarbeitungselemente 1070, 1080 integriert dargestellt ist, kann die MC-Logik für alternative Ausführungsformen eher diskrete Logik außerhalb der Verarbeitungselemente 1070, 1080 sein als darin integrierte.
  • Erstes Verarbeitungselement 1070 und zweites Verarbeitungselement 1080 können mit einem E/A-Subsystem 1090 jeweils über P-P-Schnittstellen 1076, 1086 durch P-P-Verbindungen 1062, 10104 gekoppelt sein. Wie gezeigt, schließt das E/A-Subsystem 1090 die P-P-Schnittstellen 1094 und 1098 ein. Außerdem schließt das E/A-Subsystem 1090 eine Schnittstelle 1092 zum Koppeln des E/A-Subsystems 1090 mit einer Hochleistung-Grafik-Engine 1038 ein. Bei einer Ausführungsform kann ein Bus zum Koppeln der Grafik-Engine 1038 mit dem E/A-Subsystem 1090 verwendet werden. Alternativ kann eine Punkt-zu-Punkt-Verbindung 1039 diese Komponenten koppeln.
  • Das E/A-Subsystem 1090 kann wiederum mit einem ersten Bus 10110 über eine Schnittstelle 1096 gekoppelt sein. Bei einer Ausführungsform kann der erste Bus 10110 ein Peripheral Component Interconnect (PCI)-Bus, oder ein Bus wie ein PCI Express-Bus oder ein anderer E/A-Verbindungsbus dritter Generation sein, obwohl der Umfang der vorliegenden Erfindung derart nicht begrenzt ist.
  • Wie gezeigt, können verschiedene E/A-Vorrichtungen 1014, 1024 mit dem ersten Bus 10110 zusammen mit einer Busbrücke 1018, die den ersten Bus 10110 mit einem zweiten Bus 1020 koppeln kann, gekoppelt werden. Bei einer Ausführungsform kann der zweite Bus 1020 Low Pin Count (LPC)-Bus sein. Verschiedene Vorrichtungen können mit dem zweiten Bus 1020 gekoppelt werden, z. B. eine Tastatur/Maus 1022, Kommunikationsvorrichtung(en) 1026 (die wiederum mit einem Computer-Netzwerk in Kommunikation stehen kann (können)) und eine Datenspeichereinheit 1028 wie ein Laufwerk oder eine andere Massenspeichervorrichtung, die Code 1030 einschließen kann, in einer Ausführungsform. Der Code 1030 kann Anweisungen zum Durchführen von Ausführungsformen eines oder mehrerer der oben beschriebenen Verfahren einschließen. Ferner kann eine Audio-E/A 1024 mit dem zweiten Bus 1020 gekoppelt werden.
  • Verschiedene Komponenten des Systems 1000, wie die Komponenten 1070, 1080, 1032, 1034, 1038, 1090, können die hier beschriebenen RDL-Leitungen einschließen.
  • Es ist zu beachten, dass andere Ausführungsformen vorgesehen sind. Anstatt der gezeigten Punkt-zu-Punkt-Architektur kann ein System zum Beispiel einen Multidrop-Bus oder eine andere derartige Kommunikationstopologie implementieren. Außerdem können die Elemente der Figur mit mehr oder weniger integrierten Chips als in der Figur gezeigt alternativ partitioniert werden.
  • Beispiel 1 schließt eine Halbleitervorrichtung ein, umfassend: eine Umverteilungsschicht (RDL) einschließlich einer strukturierten RDL-Leitung mit zwei RDL-Seitenwänden, wobei die RDL ein Material umfasst; Schutzseitenwände, die die zwei RDL-Seitenwände direkt berühren; eine Keimschicht, die das Material einschließt; und eine Barriereschicht; wobei (a) die RDL-Leitung eine RDL-Leitungsbreite orthogonal zu und sich erstreckend zwischen den zwei RDL-Seitenwänden aufweist, und (b) die Keim- und die Barriereschicht jeweils eine Breite parallel zu der und breiter als die RDL-Leitungsbreite einschließen.
  • Bei einer Ausführungsform wird das Material aus der Gruppe umfassend Cu und Au gewählt. Während einige Ausführungsformen Cu oder Au für die RDL-Leitungen einschließen, sind andere Ausführungsformen nicht derart begrenzt und können andere, nicht eigens erwähnte Metalle und/oder Legierungen einschließen. Bei einer Ausführungsform sind die Seitenwände vertikal und orthogonal zur horizontalen Ebene, in der das Substrat liegt. Die Schutzseitenwände können die zwei RDL-Seitenwände „direkt berühren“, auch wenn ein gewisses Ausmaß an Oxidation oder Verunreinigung der RDL-Seitenwände zum Zeitpunkt des Bildens der Schutzseitenwände vorhanden ist. Dies gilt hier auch für andere Bereiche mit dem Hinweis des „direkten Berührens“. Ferner kann die Keimschicht dasselbe Material einschließen wie die RDL-Leitung, auch wenn sie dies auf andere Weise tut als die RDL-Leitung (z. B. kann die Keimschicht eine Legierung des für die RDL-Leitung verwendeten Materials und umgekehrt sein, kann die Keimschicht unterschiedliche Anteile der Materialen (wenn auch dieselben Materialien) wie die RDL-Leitung einschließen, und dergleichen). Bei einer Ausführungsform schließt die RDL-Leitung ein Landepad ein. Die ganze RDL-Leitung kann ein Landepad darstellen (z. B. für eine kurze RDL-Leitung) oder nur ein Abschnitt der RDL-Leitung kann ein Landepad darstellen (z. B. für eine lange RDL-Leitung).
  • In Beispiel 2 kann der Gegenstand von Beispiel 1 wahlweise „„wobei die Keimschicht eine vertikal auf eine Kante einer der Schutzseitenwände ausgerichtete Kante einschließt" einschließen.
  • In Beispiel 3 kann der Gegenstand der Beispiele 1 - 2 wahlweise „,wobei die Barriereschicht eine vertikal auf die Kante der Keimschicht ausgerichtete Kante einschließt" einschließen.
  • In Beispiel 4 kann der Gegenstand der Beispiele 1 - 3 wahlweise ", wobei die RDL mit einer Durchkontaktierung (TSV) koppelt" einschließen.
  • In Beispiel 5 kann der Gegenstand der Beispiele 1 - 4 wahlweise einschließen eine zusätzliche strukturierte in der RDL eingeschlossene RDL-Leitung mit zwei zusätzlichen RDL-Seitenwänden, zusätzlichen Schutzseitenwänden, die die zwei zusätzlichen RDL-Seitenwände direkt berühren; eine zusätzliche Keimschicht, die das Material einschließt, und koplanar zur Keimschicht ist; eine zusätzliche Barriereschicht koplanar zur Barriereschicht; wobei (a) die zusätzliche RDL eine zusätzliche RDL-Leitungsbreite orthogonal zu und sich erstreckend zwischen den zwei zusätzlichen RDL-Seitenwänden aufweist, und (b) die zusätzliche Keim- und die zusätzliche Barriereschicht jeweils eine Breite parallel zu der und breiter als die zusätzliche RDL-Leitungsbreite einschließen.
  • In Beispiel 6 kann der Gegenstand der Beispiele 1 - 5 wahlweise ", wobei zwischen einem Abschnitt der strukturierten RDL-Leitung und einem zusätzlichen Abschnitt der zusätzlichen strukturierten RDL-Leitung kein dielektrisches Material und keine andere strukturierte RDL-Leitung vorhanden sind" einschließen.
  • In Beispiel 7 kann der Gegenstand der Beispiele 1 - 6 wahlweise ", wobei die Keimschicht keinen direkten Kontakt mit der zusätzlichen Keimschicht hat" einschließen.
  • In Beispiel 8 kann der Gegenstand der Beispiele 1 - 7 wahlweise ", wobei die Barriereschicht keinen direkten Kontakt mit der zusätzlichen Barriereschicht hat" einschließen.
  • In Beispiel 9 kann der Gegenstand der Beispiele 1 - 8 wahlweise einen leeren Hohlraum zwischen der strukturierten RDL-Leitung und der zusätzlichen strukturierten RDL-Leitung einschließen, wobei der leere Hohlraum von einer Achse geschnitten wird, die die strukturierte RDL-Leitung und die zusätzliche strukturierte RDL-Leitung verbindet.
  • In Beispiel 10 kann der Gegenstand der Beispiele 1 - 9 wahlweise ", wobei der leere Hohlraum kein dielektrisches Material einschließt" einschließen.
  • In Beispiel 11 kann der Gegenstand der Beispiele 1 - 10 wahlweise ", wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt" einschließen.
  • In Beispiel 12 kann der Gegenstand der Beispiele 1 - 11 wahlweise ", wobei die Barriereschicht wenigstens ein aus der Gruppe umfassend Ti, Ta und Cr ausgewähltes Material einschließt, und die Schutzseitenwände wenigstens ein aus der Gruppe umfassend Siliziumnitrid, Siliziumkarbid, Siliziumoxid und Siliziumoxinitrid ausgewähltes Material einschließen" einschließen.
  • In Beispiel 13 kann der Gegenstand der Beispiele 1 - 12 wahlweise ", wobei die Barriereschicht keinen direkten Kontakt mit den zwei RDL-Seitenwänden hat" einschließen.
  • In Beispiel 14 kann der Gegenstand der Beispiele 1 - 13 wahlweise ", wobei die Keimschicht die Barriereschicht, die Schutzseitenwände und die strukturierte RDL-Leitung direkt berührt" einschließen.
  • Erfindungsgemäß berühren die Schutzseitenwände oberste Abschnitte der zwei RDL-Seitenwände nicht direkt (Beispiel 15).
  • Dementsprechend zeigt 5 die Seitenwände nicht in direkter Berührung mit den obersten Abschnitten der zwei RDL-Seitenwände, aber bei einer Ausführungsform wird dieser Mangel an Kontakt während der Keimschichtätzung (die auch die RDL-Leitung ätzt) gelöst (siehe die nicht nicht unter den Wortlaut der Ansprüche fallende 6). Bei anderen, nicht nicht unter den Wortlaut der Ansprüche fallenden Ausführungsformen bleibt dieser Abstand zwischen der Oberseite der Schutzseitenwände und der Oberseite der RDL-Leitungsseitenwände jedoch bestehen.
  • Beispiel 16 schließt eine nicht unter den Wortlaut der Ansprüche fallende Halbleitervorrichtung ein, umfassend: eine Umverteilungsleitung (RDL-Leitung) mit RDL-Seitenwänden; Schutzseitenwände, die die RDL-Seitenwände direkt berühren; eine Keimschicht, die das Material einschließt und die RDL-Leitung direkt berührt; wobei (a) die RDL-Leitung eine RDL-Leitungsbreite, die sich zwischen den RDL-Seitenwänden erstreckt, aufweist, und (b) die Keimschicht eine Breite einschließt, die breiter ist als die RDL-Leitungsbreite.
  • Dementsprechend können manche Ausführungsformen eine Barriereschicht einschließen, aber eine solche Schicht ist nicht bei allen Ausführungsformen absolut erforderlich.
  • In Beispiel 17 kann der Gegenstand von Beispiel 16 wahlweise ", wobei die Keimschicht eine vertikal auf eine Kante einer der Schutzseitenwände ausgerichtete Kante einschließt" einschließen.
  • In Beispiel 18 kann der Gegenstand der Beispiele 16 - 17 wahlweise eine zusätzliche RDL-Leitung, die eine zusätzliche, zur Keimschicht koplanare Keimschicht direkt berührt, einschließen; wobei die Keimschicht die zusätzliche Keimschicht nicht direkt berührt.
  • In Beispiel 19 kann der Gegenstand der Beispiele 16 - 18 wahlweise einen leeren Hohlraum einschließen, der von einer Achse geschnitten wird, die die RDL-Leitung und die zusätzliche RDL-Leitung verbindet.
  • In Beispiel 20 kann der Gegenstand der Beispiele 16 - 19 wahlweise ", wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt" einschließen.
  • Beispiel 21 schließt ein nicht unter den Wortlaut der Ansprüche fallende Halbleiterherstellungsverfahren ein, umfassend: Bilden einer Umverteilungsleitung (RDL-Leitung) mit RDL-Seitenwänden; Bilden von Schutzseitenwänden, die die RDL-Seitenwände direkt berühren; Bilden einer Keimschicht, die das Material einschließt und die RDL-Leitung direkt berührt; wobei (a) die RDL-Leitung eine RDL-Leitungsbreite, die sich zwischen den RDL-Seitenwänden erstreckt, aufweist, und (b) die Keimschicht eine Breite einschließt, die breiter ist als die RDL-Leitungsbreite.
  • In Beispiel 22 kann der Gegenstand von Beispiel 21 wahlweise Bilden einer Kante der Keimschicht einschließen, die vertikal auf eine Kante einer der Schutzseitenwände ausgerichtet ist.
  • In Beispiel 23 kann der Gegenstand der Beispiele 21 - 22 wahlweise einschließen Bilden einer zusätzlichen RDL-Leitung, die eine zusätzliche, zur Keimschicht koplanare Keimschicht direkt berührt; wobei die Keimschicht die zusätzliche Keimschicht nicht direkt berührt; und Bilden eines leeren Hohlraums, geschnitten von einer Achse, die die RDL-Leitung und die zusätzliche RDL-Leitung verbindet.
  • In Beispiel 24 kann der Gegenstand der Beispiele 21 - 23 wahlweise ", wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt" einschließen.
  • Die vorangehende Beschreibung der Ausführungsformen der Erfindung wurde zu Zwecken der Veranschaulichung und Schilderung präsentiert. Sie ist nicht dazu gedacht, erschöpfend zu sein, oder die Erfindung auf die genauen offenbarten Formen zu begrenzen. Diese Beschreibung und die anschließenden Ansprüche enthalten Begriffe wie links, rechts, oben, unten, über, unter, obere, untere, erste, zweite, etc., welche nur zu beschreibenden Zwecken verwendet werden und nicht als begrenzend aufzufassen sind. Zum Beispiel, Begriffe, die eine relative vertikale Position bezeichnen, beziehen sich auf eine Situation, in der eine Vorrichtungsseite (oder aktive Oberfläche) eines Substrats oder einer integrierten Schaltung die „obere“ Fläche dieses Substrats ist; das Substrat kann tatsächlich beliebig orientiert sein, sodass eine „obere“ Seite eines Substrats niedriger sein kann als die „untere“ Seite in einem terrestrischen Standard-Bezugsrahmen und dennoch in die Bedeutung des Begriffs „obere“ fällt. Der Begriff „auf“, wie hierin (und in den Ansprüchen) verwendet, bedeutet nicht, dass eine erste Schicht „auf“ einer zweiten Schicht direkt auf und in unmittelbarem Kontakt mit der zweiten Schicht ist, sofern dies nicht ausdrücklich angegeben ist; es kann eine dritte Schicht oder eine andere Struktur zwischen der ersten Schicht und der zweiten Schicht auf der ersten Schicht sein. Die Ausführungsformen einer Vorrichtung oder eines Gegenstands, wie hier beschrieben, können in einer Vielzahl von Stellungen und Orientierungen hergestellt, verwendet oder geliefert werden. Der Fachkundige wird erkennen, dass viele Modifikationen und Variationen angesichts der obigen Lehren möglich sind. Fachkundige werden verschiedene gleichwertige Kombinationen und Substitutionen für verschiedene in den Figuren gezeigte Komponenten erkennen. Der Umfang der Erfindung soll daher nicht durch diese genaue Beschreibung, sondern eher durch die daran angefügten Ansprüche begrenzt werden.

Claims (23)

  1. Halbleitervorrichtung, umfassend: eine Umverteilungsschicht, RDL, einschließlich einer strukturierten RDL-Leitung (305) mit zwei RDL-Seitenwänden, wobei die RDL ein Material umfasst; Schutzseitenwände (304), die die zwei RDL-Seitenwände direkt berühren, aber die obersten Teile der beiden RDL-Seitenwände nicht direkt berühren; eine Keimschicht (306), die das Material einschließt; und eine Barriereschicht (307); wobei (a) die RDL-Leitung (305) eine RDL-Leitungsbreite orthogonal zu und sich erstreckend zwischen den zwei RDL-Seitenwänden aufweist, und (b) die Keimschicht (306) und die Barriereschicht (307) jeweils eine Breite parallel zur RDL-Leitungsbreite und breiter als die RDL-Leitungsbreite aufweisen.
  2. Vorrichtung nach Anspruch 1, wobei die Keimschicht (306) eine vertikal auf eine Kante einer der Schutzseitenwände (304) ausgerichtete Kante einschließt.
  3. Vorrichtung nach Anspruch 2, wobei die Barriereschicht (307) eine vertikal auf die Kante der Keimschicht (306) ausgerichtete Kante einschließt.
  4. Vorrichtung nach Anspruch 1, wobei die RDL mit einer Durchkontaktierung, TSV, koppelt.
  5. Vorrichtung nach Anspruch 1, umfassend: eine zusätzliche strukturierte RDL-Leitung (305), eingeschlossen in der RDL, zwei zusätzliche RDL-Seitenwände aufweisend; zusätzliche Schutzseitenwände (304), die die zwei zusätzlichen RDL-Seitenwände direkt berühren; eine zusätzliche Keimschicht (306), einschließend das Material, und koplanar zur Keimschicht (306); und eine zusätzliche Barriereschicht (307) koplanar zur Barriereschicht (307); wobei (a) die zusätzliche RDL eine zusätzliche RDL-Leitungsbreite orthogonal zu und sich erstreckend zwischen den zwei zusätzlichen RDL-Seitenwänden aufweist, und (b) die zusätzliche Keimschicht (306) und die zusätzliche Barriereschicht (307) jeweils eine Breite parallel zu der und breiter als die zusätzliche RDL-Leitungsbreite aufweisen.
  6. Vorrichtung nach Anspruch 5, wobei zwischen einem Abschnitt der strukturierten RDL-Leitung (305) und einem zusätzlichen Abschnitt der zusätzlichen strukturierten RDL-Leitung (305) kein dielektrisches Material und keine andere strukturierte RDL-Leitung (305) vorhanden sind.
  7. Vorrichtung nach Anspruch 5, wobei die Keimschicht (306) die zusätzliche Keimschicht (306) nicht direkt berührt, und die Barriereschicht (307) die zusätzliche Barriereschicht (307) nicht direkt berührt.
  8. Vorrichtung nach Anspruch 7 umfassend einen leeren Hohlraum zwischen der strukturierten RDL-Leitung (305) und der zusätzlichen strukturierten RDL-Leitung (305), wobei der leere Hohlraum von einer Achse geschnitten wird, die die strukturierte RDL-Leitung (305) und die zusätzliche strukturierte RDL-Leitung (305) verbindet.
  9. Vorrichtung nach Anspruch 1, wobei das Material aus der Gruppe umfassend Cu und Au gewählt wird.
  10. Vorrichtung nach Anspruch 9, wobei der leere Hohlraum kein dielektrisches Material einschließt.
  11. Vorrichtung nach Anspruch 1, wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt.
  12. Vorrichtung nach Anspruch 11, wobei die Barriereschicht (307) wenigstens ein aus der Gruppe umfassend Ti, Ta und Cr ausgewähltes Material einschließt, und die Schutzseitenwände (304) wenigstens ein aus der Gruppe umfassend Siliziumnitrid, Siliziumkarbid, Siliziumoxid und Siliziumoxinitrid ausgewähltes Material aufweisen.
  13. Vorrichtung nach Anspruch 1, wobei die Barriereschicht (307) die zwei RDL-Seitenwände nicht direkt berührt.
  14. Vorrichtung nach Anspruch 13, wobei die Keimschicht (306) die Barriereschicht (307), die Schutzseitenwände (304) und die strukturierte RDL-Leitung (305) direkt berührt.
  15. Halbleitervorrichtung, umfassend: eine Umverteilungsschichtleitung, RDL-Leitung (305), mit RDL-Seitenwänden; Schutzseitenwände (304), die die RDL-Seitenwände direkt berühren, aber die obersten Teile der beiden RDL-Seitenwände nicht direkt berühren; und eine Keimschicht (306), die die RDL-Leitung (305) direkt berührt; wobei (a) die RDL-Leitung (305) eine RDL-Leitungsbreite, die sich zwischen den RDL-Seitenwänden erstreckt, aufweist, und (b) die Keimschicht (306) eine Breite aufweist, die breiter ist als die RDL-Leitungsbreite.
  16. Vorrichtung nach Anspruch 15, wobei die Keimschicht (306) eine vertikal auf eine Kante einer der Schutzseitenwände (304) ausgerichtete Kante einschließt.
  17. Vorrichtung nach Anspruch 15, umfassend eine zusätzliche RDL-Leitung (305), die eine zusätzliche Keimschicht (306), koplanar zur Keimschicht (306), direkt berührt; wobei die Keimschicht (306) die zusätzliche Keimschicht (306) nicht direkt berührt.
  18. Vorrichtung nach Anspruch 17, umfassend einen leeren Hohlraum, geschnitten von einer Achse, die die RDL-Leitung (305) und die zusätzliche RDL-Leitung (305) verbindet.
  19. Vorrichtung nach Anspruch 15, wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt.
  20. Halbleiterherstellungsverfahren, umfassend: Bilden einer Umverteilungsleitung, RDL-Leitung (305), mit RDL-Seitenwänden; Bilden von Schutzseitenwänden (304), die die RDL-Seitenwände direkt berühren, aber die obersten Teile der beiden RDL-Seitenwände nicht direkt berühren; Bilden einer Keimschicht (306), die die RDL-Leitung (305) direkt berührt; wobei (a) die RDL-Leitung (305) eine RDL-Leitungsbreite, die sich zwischen den RDL-Seitenwänden erstreckt, aufweist, und (b) die Keimschicht (306) eine Breite aufweist, die breiter ist als die RDL-Leitungsbreite.
  21. Verfahren nach Anspruch 20 umfassend Bilden einer Kante der Keimschicht (306), die vertikal auf eine Kante einer der Schutzseitenwände (304) ausgerichtet ist.
  22. Verfahren nach Anspruch 20, umfassend: Bilden einer zusätzlichen RDL-Leitung (305), die eine zusätzliche Keimschicht (306), koplanar zur Keimschicht (306), direkt berührt; wobei die Keimschicht (306) die zusätzliche Keimschicht (306) nicht direkt berührt; und Bilden eines leeren Hohlraums, geschnitten von einer Achse, die die RDL-Leitung (305) und die zusätzliche RDL-Leitung (305) verbindet.
  23. Verfahren nach Anspruch 20, wobei die RDL-Leitungsbreite weniger als 5 Mikrometer beträgt.
DE112013007166.5T 2013-06-28 2013-06-28 Bewahrung von Umverteilungsleitungen feiner Teilung Active DE112013007166B4 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/048775 WO2015195067A2 (en) 2013-06-28 2013-06-28 Preservation of fine pitch redistribution lines

Publications (2)

Publication Number Publication Date
DE112013007166T5 DE112013007166T5 (de) 2016-03-03
DE112013007166B4 true DE112013007166B4 (de) 2023-09-28

Family

ID=54936211

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112013007166.5T Active DE112013007166B4 (de) 2013-06-28 2013-06-28 Bewahrung von Umverteilungsleitungen feiner Teilung

Country Status (7)

Country Link
US (1) US9721886B2 (de)
KR (2) KR20200070446A (de)
CN (1) CN105814679A (de)
DE (1) DE112013007166B4 (de)
GB (1) GB2534262B (de)
RU (1) RU2631911C2 (de)
WO (1) WO2015195067A2 (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016022125A1 (en) 2014-08-07 2016-02-11 Intel Corporation Method and apparatus for forming backside die planar devices and saw filter
IT201700061101A1 (it) * 2017-06-05 2018-12-05 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
US10157864B1 (en) * 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US10211052B1 (en) * 2017-09-22 2019-02-19 Lam Research Corporation Systems and methods for fabrication of a redistribution layer to avoid etching of the layer
CN109599359B (zh) * 2017-09-30 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102450580B1 (ko) 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
CN110767625A (zh) * 2018-07-25 2020-02-07 中芯长电半导体(江阴)有限公司 一种rdl金属线的制造方法及结构
KR20210028266A (ko) * 2018-07-26 2021-03-11 램 리써치 코포레이션 재분배 층 프로세스를 위한 대안적인 통합
KR102542573B1 (ko) 2018-09-13 2023-06-13 삼성전자주식회사 재배선 기판, 이의 제조 방법, 및 이를 포함하는 반도체 패키지
KR20220036598A (ko) * 2020-09-16 2022-03-23 삼성전자주식회사 반도체 패키지 장치
US11670594B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layer features

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242861A (en) 1991-06-06 1993-09-07 Nec Corporation Method for manufacturing semiconductor device having a multilayer wiring structure
US20100105203A1 (en) 2008-10-23 2010-04-29 Applied Materials, Inc. Methods for reducing damage to substrate layers in deposition processes
US20110260317A1 (en) 2010-04-22 2011-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with electrolytic metal sidewall protection

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2336161B (en) 1998-04-06 2003-03-26 John Michael Lowe Method of providing conductive tracks on a printed circuit and apparatus for use in carrying out the method
US6214630B1 (en) * 1999-12-22 2001-04-10 United Microelectronics Corp. Wafer level integrated circuit structure and method of manufacturing the same
US6432821B1 (en) * 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US7112454B2 (en) * 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
DE102005038939B4 (de) * 2005-08-17 2015-01-08 Qimonda Ag Halbleiterspeicherbauelement mit oberseitig selbstjustiert angeordneten Wortleitungen und Verfahren zur Herstellung von Halbleiterspeicherbauelementen
US8107408B2 (en) * 2006-08-09 2012-01-31 Nokia Siemens Networks Gmbh & Co. Kg Route maintenance and update based on connection identifier in multi-hop relay systems
US20090184425A1 (en) * 2008-01-17 2009-07-23 Advanced Chip Engineering Technology Inc. Conductive line structure and the method of forming the same
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US8946896B2 (en) * 2008-12-31 2015-02-03 Stmicroelectronics, Inc. Extended liner for localized thick copper interconnect
US8441124B2 (en) * 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
JP5663607B2 (ja) 2011-02-10 2015-02-04 パナソニックIpマネジメント株式会社 半導体装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242861A (en) 1991-06-06 1993-09-07 Nec Corporation Method for manufacturing semiconductor device having a multilayer wiring structure
US20100105203A1 (en) 2008-10-23 2010-04-29 Applied Materials, Inc. Methods for reducing damage to substrate layers in deposition processes
US20110260317A1 (en) 2010-04-22 2011-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with electrolytic metal sidewall protection

Also Published As

Publication number Publication date
GB2534262B (en) 2020-03-25
US9721886B2 (en) 2017-08-01
GB201518344D0 (en) 2015-12-02
DE112013007166T5 (de) 2016-03-03
GB2534262A (en) 2016-07-20
WO2015195067A2 (en) 2015-12-23
US20160181196A1 (en) 2016-06-23
RU2015151166A (ru) 2017-06-01
KR20200070446A (ko) 2020-06-17
RU2631911C2 (ru) 2017-09-28
CN105814679A (zh) 2016-07-27
KR20160052463A (ko) 2016-05-12
WO2015195067A3 (en) 2016-04-14

Similar Documents

Publication Publication Date Title
DE112013007166B4 (de) Bewahrung von Umverteilungsleitungen feiner Teilung
DE102014111783B4 (de) Gestapelte integrierte Schaltungen mit Umverteilungsleitungen und Verfahren zu ihrer Herstellung
DE102014019978B3 (de) Bridge-verbindung mit geschichteten verbindungsstrukturen
DE102012100796B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur
DE112013007038T5 (de) Zwischenverbindungsstruktur umfassend Metall-Rückseiten-Umverteilungsleitungen mit sehr kleinem Teilungsabstand kombiniert mit Durchkontaktierungen
DE102015113085A1 (de) Umverteilungsleitungen mit gestapelten Durchkontaktierungen
DE102011054120B4 (de) Halbleiter-struktur und verfahren zu deren herstellung
DE102008047916B4 (de) Halbleiterbauelement mit Mehrfachschichtmetallisierung und dazugehöriges Verfahren
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102008016427A1 (de) Drahtbonden auf reaktiven Metalloberflächen einer Metallisierung eines Halbleiterbauelements durch Vorsehen einer Schutzschicht
DE112016007578T5 (de) Verbindungstruktur für einen gestapelten Die in einem mikroelektronischen Bauelement
DE102018110866A1 (de) Ausrichtungsmarke mit Gitterstrukturen und Verfahren zum Ausbilden von dieser
DE102006051491A1 (de) Metallisierungsschichtstapel mit einer Aluminiumabschlussmetallschicht
DE102018129433B4 (de) Fan-Out-Gehäuse und Verfahren
DE102018104633A1 (de) Plättchenzusammenschaltungssubstrate, ein Halbleiterbauelement und ein Verfahren zum Ausbilden eines Plättchenzusammenschaltungssubstrats
DE102015107693A1 (de) Halbleiterbauelement und Verfahren
DE102011053356A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102009010885B4 (de) Metallisierungssystem eines Halbleiterbauelements mit Metallsäulen mit einem kleineren Durchmesser an der Unterseite und Herstellungsverfahren dafür
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE102016114814B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102020117547A1 (de) Packages mit abwechselnd gestapelten dicken rdls und dünnen rdls
DE102011050953B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102018126129A1 (de) Verfahren zum Bilden von Umverteilungsleitungen, und darauf gebildeter Aufbau
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division