CN1963999A - 使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法 - Google Patents

使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法 Download PDF

Info

Publication number
CN1963999A
CN1963999A CNA2006101275539A CN200610127553A CN1963999A CN 1963999 A CN1963999 A CN 1963999A CN A2006101275539 A CNA2006101275539 A CN A2006101275539A CN 200610127553 A CN200610127553 A CN 200610127553A CN 1963999 A CN1963999 A CN 1963999A
Authority
CN
China
Prior art keywords
etching
hard mask
layer
amorphous carbon
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101275539A
Other languages
English (en)
Inventor
金明玉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1963999A publication Critical patent/CN1963999A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

提供了一种用于使用具有高选择性的硬掩模来形成沟槽的方法以及一种使用该方法的用于半导体器件的隔离方法。该方法包括:在衬底之上形成第一硬掩模,该第一硬掩模包括氧化物层和氮化物层;在第一硬掩模之上形成具有高选择性的第二硬掩模;在第二硬掩模之上形成蚀刻阻挡层和抗反射涂层;在抗反射涂层之上形成光敏图案;使用光敏图案作为蚀刻阻挡来蚀刻抗反射涂层、蚀刻阻挡层和第二硬掩模;使用第二硬掩模作为蚀刻阻挡来蚀刻第一硬掩模和衬底以形成沟槽;以及去除第二硬掩模。

Description

使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法
技术领域
本发明涉及一种用于制造半导体器件的方法,且更具体而言,涉及一种使用具有高选择性的硬掩模在原位(in-situ)形成沟槽的方法及一种使用该方法的用于半导体器件的隔离方法。
背景技术
近来,为满足对大规模集成的需求,浅沟槽隔离(STI)方法常常用作半导体器件的器件隔离方法。对于使用STI方法的器件隔离,通常使用垫氧化物层和垫氮化物层,并使用基于光敏材料的器件隔离掩模作为蚀刻阻挡对垫氧化物层和垫氮化物层执行蚀刻。使用图案化的垫氮化物层作为硬掩模将衬底蚀刻至特定深度以形成沟槽,该沟槽变成器件隔离区。
图1A和1B是示出了使用常规STI方法的用于半导体器件的器件隔离方法的简化横截面图。
参考图1A,垫氧化物层12和垫氮化物层13顺序地形成在衬底11上。光敏层形成于垫氮化物层13上并暴露于光及显影形成为器件隔离掩模14。使用器件隔离掩模14作为蚀刻阻挡,在用于氧化物材料的蚀刻室(下文称为“氧化物蚀刻室”)中顺序地蚀刻垫氮化物层13和垫氧化物层12。
参考图1B,在用于多晶硅材料的蚀刻室(下文称为“多晶硅蚀刻室”)中,使用器件隔离掩模14作为蚀刻阻挡来蚀刻衬底11。结果形成沟槽15。用于形成沟槽15的蚀刻工艺通过将蚀刻从氧化物蚀刻室转移到多晶硅蚀刻室来非原位(ex-situ)地执行。随后剥离器件隔离掩模14并执行清洗工艺。
由于使用光敏材料形成沟槽15,因此,这一STI方法特别称作基于光敏材料的阻挡STI方法。然而,由于以上两个蚀刻工艺在非原位条件下执行,因此,制造工艺可能变得复杂。例如,常规STI方法包括四个顺序的工艺,包括用于硬掩模(如垫氮化物层)的蚀刻工艺、用于沟槽的蚀刻工艺、光敏材料的剥离以及清洗工艺。由于复杂的制造工艺,总的处理时间亦可能变长。结果,可能增加制造成本。
在用于硬掩模(例如垫氧化物层或垫氮化物层)的蚀刻工艺之后,在与执行硬掩模蚀刻工艺所在的蚀刻室不同的蚀刻室处执行用于形成沟槽的蚀刻工艺,即在非原位的条件下。因此,处理时间趋于延长,常引起原生氧化物层或聚合物的产生。原生氧化物层或聚合物的产生可导致沟槽深度变化。
图2是受损垫氮化物层的显微图像。图3是垫氮化物层倾斜型面的显微图像。
如图2和3中所示,因为光阻材料具有低选择性,所以垫氮化物层更有可能受损(参考图2中的‘16’)或倾斜(参考图3中的‘17’)。  受损的垫氮化物层16和垫氮化物层的倾斜型面17可引起深度变化。结果,对于高集成的器件,可能难以将垫氮化物层用于器件隔离方法。
发明内容
因而,本发明的一个目的是提供一种用于形成沟槽的方法和一种使用该方法的用于半导体器件的隔离方法,该用于形成沟槽的方法同时减少通常由在非原位条件下执行的蚀刻工艺所引起的沟槽深度变化以及对垫氮化物层的损坏或者垫氮化物层的倾斜型面。
根据本发明的一方面,提供了一种用于在半导体器件中形成沟槽的方法,包括:在衬底之上形成第一硬掩模,该第一硬掩模包括氧化物层和氮化物层;在第一硬掩模之上形成具有高选择性的第二硬掩模;在第二硬掩模之上形成蚀刻阻挡层和抗反射涂层;在抗反射涂层之上形成光敏图案;使用光敏图案作为蚀刻阻挡来蚀刻抗反射涂层、蚀刻阻挡层和第二硬掩模;使用第二硬掩模作为蚀刻阻挡来蚀刻第一硬掩模和衬底以形成沟槽;以及去除第二硬掩模。
根据本发明的另一方面,提供了一种用于在半导体器件中隔离器件的方法,包括:在衬底之上顺序地形成垫氧化物层和垫氮化物层;在垫氮化物层之上形成无定形碳层;在无定形碳层之上顺序地形成蚀刻阻挡层和抗反射涂层;在抗反射涂层之上形成光敏图案;使用光敏图案作为蚀刻阻挡来顺序地蚀刻抗反射涂层、蚀刻阻挡层和无定形碳层;使用无定形碳层作为蚀刻阻挡来顺序地蚀刻垫氮化物层、垫氧化物层和衬底以形成沟槽;去除无定形碳层;形成绝缘层以填充沟槽;以及去除垫氮化物层。
附图说明
参考以下结合附图给出的对典型实施例的描述,本发明的以上和其它目的及特征将变得更好理解,在附图中:
图1A和1B是描述使用常规STI方法的器件隔离方法的简化横截面图;
图2是当采用常规器件隔离方法时的受损垫氮化物层的显微图像;
图3是当采用常规器件隔离方法时的倾斜垫氮化物层的显微图像;
图4A至4H是描述根据本发明实施例的半导体器件隔离方法的简化横截面图;以及
图5示出根据本发明实施例在原位STI方法之后所形成的结构的显微图像。
具体实施方式
将参考附图详细描述本发明的典型实施例。
在本发明的实施例中提出一种使用原位STI方法的器件隔离方法。具体而言,所提出的原位STI方法使用具有高选择性的硬掩模(例如无定形碳)。垫氧化物层和垫氮化物层的层叠结构称作第一硬掩模,而且无定形碳层作为第二硬掩模形成在第一硬掩模之上。当蚀刻垫氮化物层时以及当蚀刻衬底(如基于硅的衬底)以形成沟槽时,无定形碳层充当蚀刻阻挡。当使用无定形碳层蚀刻硅衬底时,由于无定形碳层具有高选择性,因此在形成沟槽之前不蚀刻掉无定形碳层。保留的无定形碳层减少对垫氮化物层即第一硬掩模的损坏。
下文中,将参考附图详细描述器件隔离方法。
图4A至4H是描述根据本发明实施例用于半导体器件的隔离方法的简化横截面图。
参考图4A,通过执行热氧化工艺,在衬底21之上形成垫氧化物层22。垫氧化物层22形成至范围从约50至约300的厚度。采用化学气相沉积(CVD)方法在垫氧化物层22之上顺序地形成垫氮化物层23和无定形碳层24。垫氮化物层23的厚度范围从约400至约800。无定形碳层24在约300℃至约600℃形成,并具有范围从约1,000至约5,000的厚度。无定形碳层24的厚度可根据将通过蚀刻衬底21(如基于硅的衬底)而形成的沟槽的深度来变化。
在无定形碳层24之上形成厚度约200至约800的氧氮化硅层25。在无定形碳层24上执行蚀刻时,氧氮化硅层25所起到的作用在于减少由于器件隔离掩模27和抗反射涂层26的损失而造成的对无定形碳层24的蚀刻。换言之,氧氮化硅层25充当蚀刻阻挡层。上述抗反射涂层26形成在氧氮化硅层25之上,并且特别地,抗反射涂层26包括有机材料。例如,抗反射涂层26由包括碳和氢的材料形成。氧氮化硅层25是基于CVD方法形成的,而且氧氮化硅层25的厚度可根据无定形碳层24和垫氮化物层23的厚度而变化。
上述器件隔离掩模27形成在抗反射涂层26之上。更具体地,尽管未示出,在抗反射涂层26之上形成光敏材料并通过曝光和显影工艺来图案化。
顺序地蚀刻抗反射涂层26、氧氮化硅层25、无定形碳层24、垫氮化物层23、垫氧化物层22和衬底21以形成沟槽。这些顺序的蚀刻工艺在原位进行并常常称作“原位STI工艺”。特别地,原位STI工艺是使用变压器耦合等离子体(TCP)作为等离子体源在多晶硅蚀刻室进行的。换言之,这些顺序的蚀刻工艺是在同一多晶硅蚀刻室中执行的。
下文中将提供对顺序蚀刻工艺的详细描述。
参考图4B,使用器件隔离掩模27作为蚀刻阻挡来蚀刻抗反射涂层26。抗反射涂层26是在以下条件下进行蚀刻的:约5mTorr至约40mTorr的压力;至少两倍于最低功率的最高功率;以及CF4/CHF3/O2的混合气体。作为最高功率和最低功率的典型条件,最高功率的范围可从约300W至约900W,而最低功率的范围可从约20W至约400W。抗反射涂层26亦可以约80度或更少(如约70度至约80度)的角度加以蚀刻。标号26A表示抗反射涂层26的倾斜蚀刻型面,而标号26B表示图案化的抗反射涂层。
当蚀刻抗反射涂层26时,混合气体的CHF3气体流量设置成CF4气体流量的至少约4倍或以上,例如4倍至6倍,以设置产生大量聚合物的条件。例如,CF4气体的流量范围从约5sccm至约20sccm,而CHF3气体的流量范围从约20sccm至约120sccm。O2气体的流量为约0sccm至约20sccm。在该条件下,抗反射涂层26可具有倾斜的蚀刻型面26A。
参考图4C,氧氮化硅层25是在以下条件下进行蚀刻的:约5mTorr至约40mTorr的压力;2倍至3倍于最低功率的最高功率;以及CF4/CH2F2或者CF4/CHF3的混合气体。作为最高功率和最低功率的典型条件,最高功率的范围可从约300W至约900W,而最低功率的范围可从约20W至约400W。特别地执行对氧氮化硅层25的蚀刻,以使得氧氮化硅层25以约80度或更少(如约70度至约80度)的角度加以蚀刻,从而氧氮化硅层25的蚀刻型面最大限度地倾斜。
对于氧氮化硅层25的蚀刻,将CH2F2或CHF3气体流量保持为CF4气体流量的至少2倍或以上,以实现最大倾斜的蚀刻型面。例如,CF4气体的流量范围可从约5sccm至约40sccm;CH2F2气体的流量范围可从约10sccm至约80sccm;而CHF3气体的流量范围可从约10sccm至约120sccm。标号25A和25B分别表示氧氮化硅层25的倾斜蚀刻型面和图案化的氧氮化硅层。
当完成氧氮化硅层25的蚀刻时,几乎去除了器件隔离掩模27。标号27A表示在蚀刻无定形碳层24时去除的剩余器件隔离掩模。
使抗反射涂层26和氧氮化硅层25倾斜的原因是为了以微小化的图案形成沟槽。作为参考,为了获得沟槽的预期形状和深度,无定形碳层24和垫氮化物层23将蚀刻成具有垂直的蚀刻型面。
参考图4D,无定形碳层24是在以下具体条件下使用混合气体进行蚀刻的:约20mTorr或更少的压力(如范围从约3mTorr至约20mTorr);约300W至约800W的最高功率;以及约100W至约500W的最低功率。混合气体选自于N2/O2、N2/O2/HBr/Cl2和N2/H2/CHF3。在这一点,N2气体和O2气体各自具有范围从约50sccm至约200sccm的流量;HBr气体、Cl2气体和CHF3气体各自具有范围从约10sccm至约100sccm的流量;而H2气体具有范围从约50sccm至约200sccm的流量。如上所述,蚀刻无定形碳层24以具有以约89度或更大(如约89度至约90度之间的范围)的角度倾斜的蚀刻型面24A。换言之,蚀刻型面24A基本上是垂直的。标号24B表示图案化的无定形碳层,即第二硬掩模。
在无定形碳层24的蚀刻之后,剩余的器件隔离掩模27A和图案化的抗反射涂层26B不再保留,但是图案化的氧氮化硅层25B保留有小的厚度。标号25C表示在图案化的无定形碳层24B之上的氧氮化硅层25的剩余部分。
形成在抗反射涂层26之下的氧氮化硅层25在无定形碳24的蚀刻期间保护无定形碳层24的上表面免受蚀刻。作为参考,当蚀刻抗反射涂层26时,器件隔离掩模27的一部分被蚀刻掉,并且如果在没有氧氮化硅层25的情况下使用剩余的器件隔离掩模27A和图案化的抗反射涂层26B作为蚀刻阻挡来蚀刻无定形碳层24,则剩余的器件隔离掩模27A和图案化的抗反射涂层26B被同时去除,原因是剩余的器件隔离掩模27A和图案化的抗反射涂层26B对于无定形碳层24不具有特定的选择性。结果,常常损坏无定形碳层24。但是,即使剩余的器件隔离掩模27A和图案化的抗反射涂层26B被蚀刻掉了,形成在无定形碳层24与抗反射涂层26之间的氧氮化硅层25也可减少对无定形碳层24的损坏,原因是氧氮化硅层25对于无定形碳层24具有选择性。
参考图4E,垫氮化物层23是使用图案化的无定形碳层24B作为硬掩模在以下特定条件下进行蚀刻的:约20mTorr或更少的压力(如范围从约3mTorr至约20mTorr);两者均以范围约300W至约800W的相似水平施加的最高功率和最低功率;以及从CF4、CH2F2、O2、He及其混合中选择的气体。在这一点,蚀刻垫氮化物层23以具有蚀刻型面23A,该蚀刻型面基本上是垂直的,其角度范围约为89度或更大(如在约89度至约90度的范围中)。标号23B表示在以上蚀刻工艺之后的图案化的垫氮化物层。
使用从前述组合中选择的气体,减少了聚合物的产生,并且因此垫氮化物层23可具有垂直的蚀刻型面。由于使用具有高选择性的图案化的无定形碳层24B作为蚀刻阻挡(即第二硬掩模)用于蚀刻垫氮化物层23,因此垫氮化物层23可具有垂直的蚀刻型面23A。
在垫氮化物层23的蚀刻期间,在图案化的无定形碳层24之上的剩余氧氮化硅层25C比垫氮化物层23具有更小的厚度,并且因此在蚀刻垫氮化物层23的同时去除剩余的氧氮化硅层25C。
特别地,在垫氮化物层23上执行过蚀刻工艺以去除垫氮化物层23。特别地,执行过蚀刻工艺,直到衬底21蚀刻至范围从约100至约200的深度L。更详细地,由于过蚀刻垫氮化物层23,因而蚀刻垫氧化物层22,而且由于蚀刻垫氧化物层22而暴露的衬底21的部分亦蚀刻至上述深度L(即约100至约200)。标号22A表示在以上过蚀刻工艺之后的图案化的垫氧化物层。
参考图4F,使用在过蚀刻工艺之后剩余的图案化的无定形碳层24B作为蚀刻阻挡,衬底21的暴露部分蚀刻至范围从约2,000至约3,000的预定深度。结果,形成上述沟槽28。形成沟槽28的这一蚀刻工艺特别地称作“硅沟槽蚀刻工艺”。
针对硅沟槽蚀刻工艺,使用从Cl2/O2、HBr/O2和Hgr/Cl2/O2中选择的混合气体,而且在硅沟槽蚀刻工艺期间,可根据沟槽28的斜坡28A的形状来调节压力、最高功率、最低功率、气体流速。在几乎所有情况下,由于图案化的无定形碳层24B具有高选择性,因此图案化的垫氮化物层23B不易受损。
换句话说,即使硅沟槽蚀刻工艺的工艺条件变化,图案化的无定形碳层24B对于从Cl2/O2、HBr/O2和HBr/Cl2/O2中选择的混合气体也具有高选择性。因此,图案化的无定形碳层24B保留直到形成沟槽28为止,并且作为结果,图案化的垫氮化物层23B不易损坏,而且可减少垫氮化物层23的蚀刻型面23A的变化。
例如,硅沟槽蚀刻工艺是在以下条件下进行的:约20mTorr或更少的压力(如范围从约3mTorr至约20mTorr);约300W至约800W的最高功率;约100W至约400W的最低功率;具有范围从约50sccm至约200sccm流量的O2气体;具有范围从约10sccm至约100sccm流量的HBr气体;具有范围从约10sccm至约100sccm流量的Cl2气体。在该条件下,图案化的无定形碳层24B具有高选择性。即使硅沟槽蚀刻工艺是通过改变压力、最高功率、最低功率和蚀刻气体流量来执行的,图案化的无定形碳层24B仍然具有高选择性。
参考图4G,在形成沟槽28之后执行清洗工艺以去除剩余的图案化的无定形碳层24B。清洗工艺可以在直至形成沟槽28的顺序工艺所在的同一室中原位地加以执行或者可在不同室中非原位地加以执行。清洗工艺亦使用如下等离子体,该等离子体单独地利用O2气体或者利用从O2/N2、N2/H2和O2/CF4中选择的混合气体。
在去除图案化的无定形碳层24B之后,原位STI工艺完成。
参考图4H,形成绝缘层29以填充沟槽28。下文中,绝缘层29将称为“间隙填充绝缘层”。然后,在间隙填充绝缘层29上执行化学机械抛光(CMP)工艺以便隔离。然后执行剥离工艺,以去除图案化的垫氮化物层23B。作为这些顺序工艺的结果,形成沟槽型器件隔离结构。间隙填充绝缘层29包括高密度等离子体氧化物,并且剥离工艺是使用磷酸(H3PO4)溶液来进行的。
图5是根据本发明实施例在原位STI方法之后作为结果的结构的显微图像。在此,相同的标号指示图4A到4H中描述的相同元素。
在形成沟槽之后,无定形碳层24保留,并且垫氮化物层23因此不易受损。垫氮化物层23的蚀刻型面23A也是基本上垂直的。
在本发明实施例的基础上,用于为器件隔离形成沟槽(即原位STI方法)的蚀刻工艺包括顺序蚀刻抗反射涂层26、氧氮化硅层25、无定形碳层24、垫氮化物层23、垫氧化物层22和将形成沟槽28的衬底21的部分。原位执行这些顺序蚀刻工艺。特别地,原位STI方法是使用TCP作为等离子体源在多晶硅蚀刻器执行的,而且这些顺序蚀刻工艺是在同一多晶硅蚀刻室中顺序执行的。
原位蚀刻减少了执行相关工艺的时间延迟,并且因此不产生原生氧化物层和聚合物,进一步造成沟槽深度没有变化。同样,使用无定形碳层作为硬掩模的原位STI方法使得有可能减少对垫氮化物层的损坏以及垫氮化物层倾斜蚀刻型面的产生,这二者常常是由用作蚀刻掩模的光敏材料的低选择性而引起的。
如上所述,沟槽一般通过执行四个顺序工艺而获得,包括蚀刻垫氮化物层、形成沟槽、剥离光敏材料以及清洗残留物。相比之下,根据本发明的沟槽可通过简化的工艺来获得,包括使用无定形碳层作为硬掩模的原位STI工艺以及清洗工艺。简化的工艺缩短了周转时间(TAT),有助于成本降低。
根据本发明典型实施例的原位STI方法可克服使用标准光敏材料作为蚀刻掩模的常规STI方法的局限性。也就是说,有可能减少临界尺度和深度的变化、对垫氮化物层的损坏以及垫氮化物层的倾斜蚀刻型面。结果,原位STI方法可实施至50nm级的半导体技术。
本申请包含与2005年11月12日提交的韩国专利申请第KR 2005-0108315号相关的主题内容,通过引用将其全部内容结合于此。
尽管已参照特定实施例描述了本发明,但是对本领域的技术人员显而易见的是,可以在不脱离如所附权利要求限定的本发明的精神和范围情况下对本发明进行各种改变和修改。
附图标号列表:
11衬底
12垫氧化物层
13垫氮化物层
14器件隔离掩模
15沟槽
16受损
17倾斜
21衬底
22垫氧化物层
23垫氮化物层
23A垂直的蚀刻型面
24无定形碳层
24A蚀刻型面
24B图案化的无定形碳层
25氮氧化硅层
25B图案化的氮氧化硅层
25C剩余的氮氧化硅层
26抗反射涂层
26A倾斜蚀刻型面
26B图案化的抗反射涂层
27器件隔离掩模
27A剩余的器件隔离掩模
28沟槽
28A倾斜
29间隙填充绝缘层

Claims (30)

1.一种用于在半导体器件中形成沟槽的方法,包括:
在衬底之上形成第一硬掩模,该第一硬掩模包括氧化物层和氮化物层;
在所述第一硬掩模之上形成具有高选择性的第二硬掩模;
在所述第二硬掩模之上形成蚀刻阻挡层和抗反射涂层;
在所述抗反射涂层之上形成光敏图案;
使用所述光敏图案作为蚀刻阻挡,蚀刻所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模;
使用所述第二硬掩模作为蚀刻阻挡,蚀刻所述第一硬掩模和所述衬底以形成沟槽;以及
去除所述第二硬掩模。
2.如权利要求1的方法,其中所述第二硬掩模包括无定形碳层。
3.如权利要求2的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模的蚀刻以及为形成所述沟槽而对所述第一硬掩模和所述衬底的蚀刻是在同一室中原位进行的。
4.如权利要求2的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模的蚀刻、为形成所述沟槽而对所述第一硬掩模和所述衬底的蚀刻以及所述第二硬掩模的去除是在同一室中原位执行的。
5.如权利要求2的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模的蚀刻以及为形成所述沟槽而对所述第一硬掩模和所述衬底的蚀刻是在同一室中原位执行的,而所述第二硬掩模的去除是在不同的室中非原位执行的。
6.如权利要求5的方法,其中所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模的蚀刻以及所述第一硬掩模和所述衬底的蚀刻被原位执行时所在的所述室是多晶硅蚀刻室。
7.如权利要求1的方法,其中所述蚀刻阻挡层包括氧氮化硅层。
8.如权利要求1的方法,其中所述抗反射涂层、所述蚀刻阻挡层和所述第二硬掩模的蚀刻包括将所述抗反射涂层和所述蚀刻阻挡层蚀刻至具有以约80度或更少的角度倾斜的蚀刻型面。
9.如权利要求8的方法,其中所述第二硬掩模的蚀刻包括将所述第二硬掩模蚀刻至具有垂直的蚀刻型面。
10.一种用于在半导体器件中隔离器件的方法,包括:
在衬底之上顺序地形成垫氧化物层和垫氮化物层;
在所述垫氮化物层之上形成无定形碳层;
在所述无定形碳层之上顺序地形成蚀刻阻挡层和抗反射涂层;
在所述抗反射涂层之上形成光敏图案;
使用所述光敏图案作为蚀刻阻挡,顺序地蚀刻所述抗反射涂层、所述蚀刻阻挡层和所述无定形碳层;
使用所述无定形碳层作为蚀刻阻挡,顺序地蚀刻所述垫氮化物层、所述垫氧化物层和所述衬底以形成沟槽;
去除所述无定形碳层;
形成绝缘层以填充所述沟槽;以及
去除所述垫氮化物层。
11.如权利要求10的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述无定形碳层的顺序蚀刻以及为形成沟槽而对所述垫氮化物层、所述垫氧化物层和所述衬底的顺序蚀刻是在同一室中原位执行的。
12.如权利要求10的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述无定形碳层的顺序蚀刻、为形成沟槽而对所述垫氮化物层、所述垫氧化物层和所述衬底的顺序蚀刻以及所述无定形碳层的去除是在同一室中原位执行的。
13.如权利要求10的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述无定形碳层的顺序蚀刻以及为形成沟槽而对所述垫氮化物层、所述垫氧化物层和所述衬底的顺序蚀刻是在同一室中原位执行的,而所述无定形碳层的去除是在不同的室中非原位执行的。
14.如权利要求13的方法,其中对所述抗反射涂层、所述蚀刻阻挡层和所述无定形碳层的顺序蚀刻以及为形成沟槽而对所述垫氮化物层、所述垫氧化物层和所述衬底的顺序蚀刻被原位执行时所在的所述室是多晶硅蚀刻室。
15.如权利要求10的方法,其中所述抗反射涂层的蚀刻包括在以下特定条件下将所述抗反射涂层蚀刻至具有以约80度或更少的角度倾斜的蚀刻型面:约5mTorr至约40mTorr的压力;至少两倍于最低功率来施加的最高功率;以及CF4/CHF3/O2的混合气体。
16.如权利要求15的方法,其中所述抗反射涂层的蚀刻包括使用范围从约300W至约900W的最高功率和范围从约20W至约400W的最低功率。
17.如权利要求15的方法,其中所述混合气体中CHF3气体的流量是所述混合气体中CF4气体的流量的至少约4倍。
18.如权利要求17的方法,其中所述CF4气体的流量范围从约5sccm至约20sccm;所述CHF3气体的流量范围从约20sccm至约120sccm;而所述O2气体的流量范围从约0sccm至约20sccm。
19.如权利要求10的方法,其中所述蚀刻阻挡层包括氧氮化硅层。
20.如权利要求19的方法,其中所述蚀刻阻挡层的蚀刻包括在以下特定条件下将所述蚀刻阻挡层蚀刻至具有以约80度或更少的角度倾斜的蚀刻型面:约5mTorr至约40mTorr的压力;至少约2倍至3倍于最低功率来施加的最高功率;以及选自于CF4/CHF3和CF4/CH2F2中的混合气体。
21.如权利要求20的方法,其中所述蚀刻阻挡层的蚀刻包括使用范围从约300W至约900W的最高功率和范围从约20W至约400W的最低功率。
22.如权利要求20的方法,其中所述混合气体中CH2F2气体或CHF3气体的流量是所述混合气体中CF4气体的流量的至少约2倍。
23.如权利要求22的方法,其中所述CF4气体的流量范围从约5sccm至约40sccm;所述CH2F2气体的流量范围从约10sccm至约80sccm;而所述CHF3气体的流量范围从约10sccm至约120sccm。
24.如权利要求10的方法,其中所述无定形碳层的蚀刻包括在以下特定条件下将所述无定形碳层蚀刻至具有基本上垂直的蚀刻型面:约3mTorr至约20mTorr的压力;约300W至约800W的最高功率;约100W至约500W的最低功率;以及从N2/O2、N2/O2/HBr/Cl2和N2/H2/CHF3中选择的混合气体。
25.如权利要求10的方法,其中所述垫氮化物层的蚀刻包括在以下特定条件下将所述垫氮化物层蚀刻至具有基本上垂直的蚀刻型面:约3mTorr至约20mTorr的压力;约300W至约800W的最高功率;约300W至约800W的最低功率;以及从CF4、CH2F2、O2、He及其混合中选择的气体。
26.如权利要求25的方法,其中所述垫氮化物层的蚀刻包括过蚀刻所述垫氮化物层以便蚀刻所述垫氧化物层和所述衬底的一部分。
27.如权利要求26的方法,其中所述衬底的该部分的蚀刻包括将所述衬底的该部分蚀刻至范围从约100至约200的厚度。
28.如权利要求10的方法,其中所述沟槽的形成包括使用从Cl2/O2、HBr/O2和HBr/Cl2/O2中选择的混合气体。
29.如权利要求13的方法,其中所述无定形碳层的去除包括使用等离子体,所述等离子体使用O2气体或者从O2/N2、N2/H2和O2/CF4中选择的混合气体。
30.如权利要求10的方法,其中所述无定形碳层是通过在范围从约300℃到约600℃的温度执行化学气相沉积(CVD)方法而形成的,其中所述无定形碳层具有范围从约1,000至约5,000的厚度。
CNA2006101275539A 2005-11-12 2006-09-12 使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法 Pending CN1963999A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020050108315A KR100801308B1 (ko) 2005-11-12 2005-11-12 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
KR1020050108315 2005-11-12

Publications (1)

Publication Number Publication Date
CN1963999A true CN1963999A (zh) 2007-05-16

Family

ID=38041458

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101275539A Pending CN1963999A (zh) 2005-11-12 2006-09-12 使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法

Country Status (5)

Country Link
US (1) US20070111467A1 (zh)
JP (1) JP2007134668A (zh)
KR (1) KR100801308B1 (zh)
CN (1) CN1963999A (zh)
TW (1) TW200723440A (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101320673B (zh) * 2007-06-05 2010-06-09 海力士半导体有限公司 形成半导体器件的精细图案的方法
CN102299112A (zh) * 2010-06-23 2011-12-28 中芯国际集成电路制造(上海)有限公司 制作沟槽和浅沟槽隔离结构的方法
CN102354679A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 浅沟槽隔离的制作方法
CN101743626B (zh) * 2007-06-27 2013-01-30 桑迪士克公司 使用非晶碳上的氮氧化硅的硬掩模制造3-d集成电路的方法
CN103011048A (zh) * 2011-09-26 2013-04-03 美格纳半导体有限公司 隔离结构、具有其的半导体器件及制造该隔离结构的方法
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成
CN103376487A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 光栅的制作方法
CN104022066A (zh) * 2014-04-22 2014-09-03 上海华力微电子有限公司 一种形成浅沟槽隔离的方法
CN104752152A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种沟槽刻蚀方法及刻蚀装置
CN109659271A (zh) * 2019-01-22 2019-04-19 上海华虹宏力半导体制造有限公司 浅沟槽隔离结构的制作方法
TWI658508B (zh) * 2013-10-15 2019-05-01 日商東京威力科創股份有限公司 電漿處理方法
CN109742075A (zh) * 2017-10-30 2019-05-10 台湾积体电路制造股份有限公司 一种制造半导体器件的方法
CN116207039A (zh) * 2023-04-28 2023-06-02 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5091452B2 (ja) * 2006-10-06 2012-12-05 株式会社東芝 半導体装置の製造方法
US20080160707A1 (en) * 2006-12-27 2008-07-03 Jin Hyo Jung Method for fabricating sesmiconductor device
JP2008227360A (ja) * 2007-03-15 2008-09-25 Elpida Memory Inc 半導体装置の製造方法
KR100849190B1 (ko) * 2007-03-19 2008-07-30 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8907456B2 (en) * 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
CN101903989B (zh) * 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP4909912B2 (ja) * 2008-01-10 2012-04-04 株式会社東芝 パターン形成方法
JP2009206394A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd 炭素系ハードマスクの形成方法
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
TW201036142A (en) * 2009-03-16 2010-10-01 Nanya Technology Corp Manufacturing method of supporting structure for stack capacitor in semiconductor device
KR101073075B1 (ko) * 2009-03-31 2011-10-12 주식회사 하이닉스반도체 이중 패터닝 공정을 이용한 반도체장치 제조 방법
US20110014726A1 (en) 2009-07-20 2011-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming shallow trench isolation structure
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP2013030582A (ja) 2011-07-28 2013-02-07 Elpida Memory Inc 半導体装置の製造方法
US8841181B2 (en) 2012-03-07 2014-09-23 United Microelectronics Corp. Method for fabricating semiconductor device and PMOS device fabricated by the method
JP6033496B2 (ja) * 2013-11-06 2016-11-30 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法
KR102171265B1 (ko) 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
CN105428317B (zh) * 2014-09-12 2018-09-18 中国科学院微电子研究所 半导体器件制造方法
CN108389830B (zh) * 2017-02-03 2020-10-16 联华电子股份有限公司 掩模的制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6159860A (en) * 1998-07-17 2000-12-12 Advanced Micro Devices, Inc. Method for etching layers on a semiconductor wafer in a single etching chamber
JP2000294626A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置の製造方法
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
KR20030001978A (ko) * 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
KR20040055346A (ko) * 2002-12-20 2004-06-26 아남반도체 주식회사 반도체 소자의 트렌치 형성 방법
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
US20060105578A1 (en) * 2004-11-12 2006-05-18 Shih-Ping Hong High-selectivity etching process

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101320673B (zh) * 2007-06-05 2010-06-09 海力士半导体有限公司 形成半导体器件的精细图案的方法
CN101743626B (zh) * 2007-06-27 2013-01-30 桑迪士克公司 使用非晶碳上的氮氧化硅的硬掩模制造3-d集成电路的方法
CN102299112A (zh) * 2010-06-23 2011-12-28 中芯国际集成电路制造(上海)有限公司 制作沟槽和浅沟槽隔离结构的方法
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成
CN103011048B (zh) * 2011-09-26 2016-04-27 美格纳半导体有限公司 隔离结构、具有其的半导体器件及制造该隔离结构的方法
CN103011048A (zh) * 2011-09-26 2013-04-03 美格纳半导体有限公司 隔离结构、具有其的半导体器件及制造该隔离结构的方法
CN102354679A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 浅沟槽隔离的制作方法
CN103376487A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 光栅的制作方法
TWI658508B (zh) * 2013-10-15 2019-05-01 日商東京威力科創股份有限公司 電漿處理方法
CN104752152A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种沟槽刻蚀方法及刻蚀装置
CN104022066B (zh) * 2014-04-22 2017-01-04 上海华力微电子有限公司 一种形成浅沟槽隔离的方法
CN104022066A (zh) * 2014-04-22 2014-09-03 上海华力微电子有限公司 一种形成浅沟槽隔离的方法
CN109742075A (zh) * 2017-10-30 2019-05-10 台湾积体电路制造股份有限公司 一种制造半导体器件的方法
US11276699B2 (en) 2017-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US11665897B2 (en) 2017-10-30 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Improving surface topography by forming spacer-like components
CN109659271A (zh) * 2019-01-22 2019-04-19 上海华虹宏力半导体制造有限公司 浅沟槽隔离结构的制作方法
CN116207039A (zh) * 2023-04-28 2023-06-02 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Also Published As

Publication number Publication date
US20070111467A1 (en) 2007-05-17
JP2007134668A (ja) 2007-05-31
TW200723440A (en) 2007-06-16
KR100801308B1 (ko) 2008-02-11
KR20070050737A (ko) 2007-05-16

Similar Documents

Publication Publication Date Title
CN1963999A (zh) 使用高选择性硬掩模形成沟槽的方法及使用该方法的半导体器件隔离方法
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
US20010018252A1 (en) Method for fabricating semiconductor device by using etching polymer
US6673635B1 (en) Method for alignment mark formation for a shallow trench isolation process
JP4139380B2 (ja) 半導体デバイスにおいてアイソレーション膜を形成する方法
US6500727B1 (en) Silicon shallow trench etching with round top corner by photoresist-free process
KR100714287B1 (ko) 반도체 소자의 패턴 형성방법
CN102130036B (zh) 浅沟槽隔离结构制作方法
KR20010081947A (ko) 반도체 장치의 제조 방법
US5880005A (en) Method for forming a tapered profile insulator shape
JP2013089801A (ja) 半導体装置の製造方法
JP2003282700A (ja) ホール形成方法
CN110690112A (zh) 利用反向间距加倍工艺形成表面平坦化结构及方法
KR20060002138A (ko) 반도체 소자의 제조방법
CN101459072B (zh) 蚀刻底层抗反射层及制作布线沟槽的方法
CN101752285A (zh) 沟槽的形成方法
KR100712983B1 (ko) 반도체 소자의 평탄화 방법
KR100590395B1 (ko) 플래쉬 메모리소자의 게이트 전극 형성방법
KR100954418B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100545701B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20030091452A (ko) 피팅 현상을 방지하는 패턴 형성 방법
KR100585955B1 (ko) 반도체 장치의 제조 방법
KR100939161B1 (ko) 반도체 소자의 소자 분리막 제조 방법
KR20050037653A (ko) 반도체 소자의 셀로우 트렌치 소자분리막의 제조 방법
TW501224B (en) Method of enhancing round corner by pulling back silicon nitride using SF6/HBr in the shallow trench isolation etching process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication