CN1795058A - 反应腔构件的清洗 - Google Patents

反应腔构件的清洗 Download PDF

Info

Publication number
CN1795058A
CN1795058A CNA2004800140410A CN200480014041A CN1795058A CN 1795058 A CN1795058 A CN 1795058A CN A2004800140410 A CNA2004800140410 A CN A2004800140410A CN 200480014041 A CN200480014041 A CN 200480014041A CN 1795058 A CN1795058 A CN 1795058A
Authority
CN
China
Prior art keywords
gas
pores
plasma
pottery
acid solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800140410A
Other languages
English (en)
Other versions
CN1795058B (zh
Inventor
阿希什·巴特纳格尔
查尔斯·S·孔泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1795058A publication Critical patent/CN1795058A/zh
Application granted granted Critical
Publication of CN1795058B publication Critical patent/CN1795058B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Abstract

本发明涉及形成于一反应腔构件上的制程淀积物的清洗方法。该清洗方法是机械性地钻挖该构件的气孔,以清洁其内部的淀积物。随后,使该构件的一陶瓷部位暴露至一酸性溶液,例如由氢氟酸与硝酸所构成的溶液。可于该酸清洗步骤后重复这些气孔的机械性钻挖步骤。之后,借着引导一非反应性气体进入该等离子区,并于该等离子区中形成该非反应性气体等离子,以等离子稳定该构件。一范例中,该构件包含一静电卡盘,该静电卡盘包含一覆盖着一电极的陶瓷,且其内部具有气孔。

Description

反应腔构件的清洗
技术领域
本发明的实施例涉及用于反应腔中处理一基板的构件的清洗方法。
背景技术
为了制造电路板与显示器,诸如半导体晶片或显示面板等基板会被安置于一反应腔中,并经过一处理气体等离子的处理。举例而言,在一物理气相淀积制程(PVD)中,一等离子溅射由溅射材料所构成的靶材,以将溅射靶材材料淀积在该基板上。在一化学气相淀积制程(CVD)中,是使用由一淀积气体所构成的等离子将材料淀积于该基板上。在一刻蚀制程中,使用一等离子刻蚀该基板上的材料。该反应腔具有多个构件在处理过程中暴露于该等离子下,例如用以固持该基板的基板支撑座、内衬、配气或排气环以及聚焦环等。
在此类基板处理制程中,该等离子在这些反应腔构件的表面上生成制程淀积物,而使这些构件必须周期性地清洗。在一清洗方法中,这些反应腔构件是从该反应腔中移除,并浸泡在诸如酸性或碱性等清洗溶液中。在另一方法中,该构件仍保留在该反应腔内,而引导一清洗气体进入该反应腔,并由该清洗气体来产生一等离子以清洗这些制程淀积物。然而,此类清洗方法多半无法去除这些化学性附着至构件上的淀积物或特别厚的淀积物层。
诸如气体分配装置、内衬与静电卡盘等构件由于其内部具有多个用来流通气体的孔或其它因素而特别不易清洗。举例而言,该气体分配装置具有多个气孔用以在该基板下方释出热转移气体。在一典型的卡盘中,这些气孔是间隔开来,且分布于该陶瓷表面,以将热转移气体供应至该基板下方的指定位置。这些气孔通常很小,以避免在使用该静电卡盘时,等离子或辉光放电形成于这些孔中。诸如通过气体等离子等传统清洗制程,通常无法充分地清除生成于这些小孔中的制程淀积物。例如,在湿式清洗制程中,所使用的酸性或碱性溶液,可能由于这些气孔被制程淀积物所堵塞,或因表面张力而无法进入这些气孔中。在干式清洗制程中,该等离子也多半无法完全渗入这些孔中。当这些孔无法完全洗淨时,该静电卡盘不能在该基板下方提供均匀的气体分布,该基板便无法被适当地冷却或加热。当清洗具有多个小气孔的气体分配装置或内衬时,也得面对相同的问题。
当试图清洗诸如一卡盘的陶瓷表面上的制程淀积物时,又会引出另一个问题。陶瓷卡盘提供良好的耐热性与抵抗来自于气体等离子环境的化学腐蚀性的抵抗性。然而,PVD与CVD淀积物通常牢固地附着于该陶瓷表面上,且难以通过传统清洗方法来移除。该陶瓷表面会被一强化学性清洗溶液所腐蚀。陶瓷材料的易碎性质也难以用研磨方式来清洗其表面,或难以在不造成该陶瓷细微裂缝或破裂的情况下,清洗位于该陶瓷材料内部的气孔。当一陶瓷表面受损时,该反应腔等离子会渗透过这些受损区域而腐蚀暴露出来的下层表面,且可能造成电弧放电或与该卡盘电极发生短路现象,最终导致该卡盘在处理过程中失去作用并损失该基板。
因此,期望能有效清洗一反应腔构件,使得该构件在一等离子处理环境中能具有要求的表面特性。更期望能均匀地清洗一静电卡盘或其它构件中的所有气孔,使得该清洗后的结构能在该反应腔中提供均匀气体分布。亦期望该清洗制程能提供稳定且可再现的清洗结果。
发明内容
附图说明
本发明的特征、目的与优点将通过以下叙述、权利要求范围及表示本发明实施例的附图而更加清楚明白。然而,需了解的是,各个特征可应用于本发明之中,而不仅仅限于特定附图所示的内容,而且本发明包含这些特征的任意组合形式。该些图示为:
图1显示一包含有一反应腔的基板处理设备的剖面示意图;
图2a显示一静电卡盘的上视图;
图2b是图2a的静电卡盘的剖面图;
图3是根据本发明的一清洗制程实施例的流程图;
图4a显示图2a、2b的静电卡盘与一具有多个针具的机械性钻孔工具,这些针具是用以清洗该静电卡盘的这些气孔;
图4b  示该机械性钻孔工具的这些针具,这些针具连接至该静电卡盘中的气孔,以清洗该静电卡盘;以及
图5为一具有一轴的机械性钻孔工具的下视剖面图,该轴机械性地连接至这些针具。
附图标记说明
100  构件                    100a 静电卡盘
105  反应腔                  110  处理区
115  基板                    120  基板处理设备
125  侧壁                    130  顶壁
133  排气系统                135  电极电压供应器
136  排出导管                139  排出管线
140  交流电压供应器          142  节流阀
145  直流电压供应器          146  泵
150  热置换装置              154  控制器
155  气体分配装              160a 导管
160b 导管                    165  气体供应源
170  气流阀                  175a 气体出口
175b 气体出口                180  气体能量化装置
184  诱导天线                188  诱导线圈
192  线电源供应器            196  匹配网络
200  陶瓷                    205  气孔
210  表面                    210a 接收表面
215  金属基座                220  电极
230  供应通道                235  流体循环装置
240  沟渠                    400  钻孔工具
410  针具                    415  外罩
420  研磨涂层                425  轴
430  电动马达
具体实施方式
清洗一反应腔105的构件100,移除在反应腔105运作过程中累积于该构件100表面上的制程淀积物。该反应腔105定义出一处理区110,在该处理区内以等离子处理诸如半导体晶片或平面显示器等基板。该反应腔105是图1中所示的示范性基板处理设备实施例的一部分。该构件100具有多个气孔205,这些气孔可如图2a所示实施例的上视图般地位于一陶瓷200中。举例而言,这些气孔205可能经过成形和设计尺寸,以将一热转移气体或一处理气体输送至该反应腔中。清洗该构件100以移除这些在等离子暴露过程中累积于该构件100的表面210上的制程淀积物,以及清除这些因气体流经其中而堆积在这些气孔205的内表面上的制程淀积物。
一实施例中,该构件100包含一气体分配装置155,该气体分配装置155引导一处理气体进入该反应腔105中以处理该基板115。该气体分配装置155包含多个气体送入导管160a、b,以从一气体供应源165输送处理气体至该反应腔105中的一或多个气体出口175a、b。一气流阀170调整流经这些气体送入导管160a、b和流经这些气体出口175a、b的该处理气体的流量。这些气体出口175a、b相互间隔开来,且经配置以在该处理区110中均匀释放出处理气体。例如,这些出口175a、b的数量可以介于2至12个之间,并环绕着该基板115的周围(如图1所示)。在另一实施例中,该气体分配装置155包含一有孔喷头板(未显示)位于该基板上方,该有穿孔喷头板通过由多个共面气体出口所构成的模式而从侧面与向下喷洒处理气体至该处理区110,以在该处理区110中提供均匀的处理气体分布。
在如图2a与2b所示的另一实施例中,该反应腔构件100包含一静电卡盘100a,其用来将一基板115固持于该反应腔105的处理区110中的接收表面210a上,其可参阅图2a中的上视图及图2b的侧剖面图。该静电卡盘100a包含一金属基座215。该金属基座例如由铝等材料构成。在该金属基座215的上方是一陶瓷200,该陶瓷200覆盖着一电极220且包含一接收表面210a,该表面的上方是用以固持该基板115。该陶瓷200包含如由三氧化二铝(Al2O3)或氮化铝(AlN)所构成的一层或独石(monolith)。该陶瓷200可以是接合、淀积或等离子喷涂于该金属基座215上。该电极220包含一包埋于一陶瓷独石中的金属网(如图2B所示),或该电极220可以是其表面上具有一膜层状的陶瓷200的金属基座215。
位于该静电卡盘100a中的气孔205用于向上输送一热转移气体至该接收表面210a以调控该基板温度。例如,这些气孔205可通过抽取在制程中等离子所供应的热量以冷却该基板115。该热转移气体包含氦气或其它实质惰性的气体。这些气孔205的直径可能约从110微米(microns)至510微米,例如约125至305微米。
在一实施例中,这些气孔205从该接收表面210a穿过该陶瓷200而进入该金属基座215中。该供应通道230横向穿过该金属基座215并与这些气孔205相连接,以将该热转移气体分配至这些气孔205。该供应通道230的直径约介于101微米至762微米,例如约为127至356微米。
此外,该静电卡盘100a的金属基座215包含一如图2b所示般的流体循环装置(circulator)235,以通过使一流体循环流经该金属基座215来调控该静电卡盘100a的温度。该流体具有足够大的比热,以有效提供该静电卡盘100a热量或从该静电卡盘100a带走热量,例如,该流体可能包含水。在清洗该静电卡盘100a之前,是以水冲洗该流体循环装置235以移除任何可能渗透污染该静电卡盘100a的甘油与水的混合物。
在又一范例中,该构件100包含一内衬(未显示),其围绕着该静电卡盘100a。该内衬具有一或多个能输送一气体至该静电卡盘100a邻近处的气孔205。在一实施例中,该内衬呈环状且位于该静电卡盘100a周围。多个气孔205以环状方式沿着该内衬加以配置,以引导一处理气体至该静电卡盘100a周围。
图3的示例性流程图表示一种清洗该反应腔构件100的方法。该清洗方法包含一系列步骤,以确保有效移除该构件100上的制程淀积物,同时保持这些气孔205的指定形状与大小及该构件的结构整体性。该流程图中的虚线箭头之间的主要步骤可任意选择,但可产生能改善操作过程中该洗净的构件的可靠度的结果。
该清洗方法的起始步骤中,该构件100的这些气孔205是经机械性地钻挖,以松动并移除淀积于这些气孔205的内表面上的制程淀积物。如图4a所显示的示例性实施例,一机械钻孔工具400包含多个长型针具410,这些针具的尺寸与形状能吻合地套入这些气孔205中。例如,这些针具410的直径约介于110微米至510微米。该钻孔工具400可上下移动,使得这些针具410贯穿这些气孔205的内部,以移除这些气孔205中的制程淀积物。当该构件100包含有覆盖于该金属基座215上的陶瓷200的该静电卡盘100a时,这些针具410通常不仅插入位于该陶瓷中的这些气孔部份,也插入这些气孔205位于该金属基座215中的部份。
在一方面,这些针具410在这些气孔205中至少具有两自由度的移动方法,以达到彻底清洗效果。举例而言,这些针具410的移动方法可能为i)轴向地进入或离开这些气孔205,以及ii)在这些气孔205中旋转。为了达到更好的清洗效果,该钻孔工具400的这些针具410可同时以两自由度的方式在这些气孔中移动。这些针具410也可震动,例如在这些气孔205中进行轴向震动或侧项的前后震动,以松动并移除这些气孔205的内表面上的制程淀积物。
这些针具410经配置与塑造尺寸以与这些气孔205相配合,并可将不想要的制程淀积物从这些气孔205中赶出来。如图4a的静电卡盘中所示的图样范例般,这些针具410是与该构件中已经预定好的这些气孔图样呈相对应的镜像配置,以配合该图4b中的这些气孔205。当该钻孔工具400连接在该构件100中时,该多个针具410平行地将制程淀积物白这些气孔205中推出。此平形式清洗方法非常省时且可靠。举例而言,因为两针具适当的匹配能保证其它针具410同样能够适当地配合其相对应的气孔205,而提高了该方法的可靠度。所有针具410能同时精准配合各自的气孔的动作能降低损害该构件205或这些针具410可能性。
这些针具410可自该钻孔工具400上移除。例如,该外罩415包含一接受器阵列,这些针具410可被固定于各自的接受器(receptor)中。这些针具410系以匹配这些气孔于该构件100表面上之图样的方式来安置于这些接受器中。此外,一已预定直径的针具410可插入该接受器中,以清洗具有大致相同直径的一气孔205。此种调整方法允许同一个钻孔工具400能用于清洗不同型号的静电卡盘100a,或甚至是具有不同功能的不同种类的反应腔构件100,而得到一个不轻易报废且符合成本效益的钻孔工具400。
在一实施例中,该构件包含多个配置成一个或多个环型的气孔205。例如,该静电卡盘100a可能包含一沟渠240,其底部具有多个间隔开来的气孔205。将其配置方式相对应于该沟渠240中的这些气孔205的环状图样的这些针具410推出该外罩415外。例如图2a与2b中所显示的实施例般,在环绕着该静电卡盘100a周围的两条沟渠24中具有配置成两同心圆的多个气孔205。如图4a所示的一匹配的钻孔工具400包含多个针具410,这些针具410是以对应于该静电卡盘100a中相互间隔开来且配置成两环状的这些气孔205的设计来加以配置。如图4b所示,当该钻孔工具400与该静电卡盘100a衔接时,这些针具410穿通其相对应的气孔205,而同时将这些气孔205中的制程淀积物移除。
若该钻孔工具400所包含的针具410的数量少于这些气孔205的数量,可使该钻孔工具400在整个构件100上的不同气孔205之间移动。例如,该钻孔工具400包含一外罩415,其用来装配数目少于这些气孔205的数量的针具410。在多次插入针具的步骤之间,该外罩415可移动或旋转以清除连续多组的气孔205。在某些情况下,例如当各个气孔205具有不同程度的制程淀积物堆积时,可连续执行清洗这些气孔205的步骤。根据清洗各个气孔205时所遭遇的摩擦阻力,连续的清洗步骤可显示出在不同气孔205中制程淀积物的累积程度的相对关系。关于制程淀积物的累积量实质上不尽相同方面,本发明方法更可降低损伤这些针具410的可能性。在一示范性实施例中,若迫使30个针具410进入30个气孔205中,但仅有一个气孔205累积有足够量的制程淀积物能完全阻止其对应针具410的通过时,施加于该30个针具上的所有施力将会集中在此单一针具410上。施力的大量集中将会造成该针具410的破裂。通过将这些气孔205分成连续清洗的阵列独立组别,可实质避免上述针具破裂的危险。
在一实施例中,这些针具410是经纹理化,以能更有效地移除这些气孔205中的制程淀积物。例如,这些针具410可能包含如图4a的分解图所绘示的一研磨涂层420,以加强清洗效率。举例而言,这些针具410可能包含由研磨颗粒所构成的一涂层420。在一实施例中,这些针具410包含由钻石粉末所构成的一涂层420,其异常耐磨且锋利。当这些针具410在这些气孔205中移动时,该研磨颗粒会刮擦该制程淀积物,使得该研磨颗粒锋利的边缘会磨碎并切下该制程淀积物。或者,这些针具410可能逐渐变细,且包含数条沟纹(未显示),以钻挖至这些气孔205的内部。当这些逐渐变细且具有沟纹的针具410旋转时,这些针具410会切除这些气孔205内部的制程淀积物。这些经过纹理化的针具410也可通过将不想要的材料切割掉,而再次创造出这些气孔205的特定尺寸与形状。被切除的材料可包括残留于气孔内部的制程淀积物或是构成该构件100的材料,例如该静电卡盘100a的陶瓷200的陶瓷材料。
如图4b所示般,该钻孔工具400可能包含一轴425,其机械性地连接至一电动马达430,使得驱动该马达430时,该轴425会旋转。此外,如图5中所示的示范性实施例,该轴425可机械性地连接至这些针具410,使得当该马达430驱动该轴425围绕轴心旋转时,这些针具410也围绕其各自的纵向轴心旋转。例如,该轴425通过传动装置(gear,如图所示)或弹性带(flexible bands,未显示)机械性地连接至这些针具410,以将该轴425的旋转运动传递至这些针具410。在各个针具插入的过程中,该轴425会旋转以带动这些针具410围绕各自的轴心旋转。该电动马达430可提供这些针具410一高旋转速度,以提升这些气孔205的清洗速率,并更能有效清洗特别脏污的气孔205。在一实施例中,该电动马达430使这些针具410产生每分钟约500至5000转(rpm)的转速。可通过一操作员或一额外的马达于这些针具410进行旋转运动的同时提供其进/出运动(in and outmotion)。
机械性钻挖该构件100的气孔205后,更将该构件100暴露至一酸性溶液中来更进一步清洗该构件100。可通过将该构件100浸洗、浸泡入该酸性溶液中,或至少将该构件100之表面210暴露至该酸性溶液中,来清洗该构件100。也可借着使该酸性溶液流经这些气孔205而洗净这些气孔205的一部份的内部,例如将该构件100浸入该酸性溶液至足以使该酸性溶液渗透至这些气孔205中的深度。上述的机械性钻孔动作会研磨该制程淀积物,使得一些细小、粉碎的制程淀积物碎片留在这些气孔205中。该酸性溶液可将这些制程淀积物颗粒洗出,且更能腐蚀或软化该些未能被机械钻挖掉的剩余淀积物,特别是位于该构件100的表面210上的淀积物。
举例而言,为了清洗一静电卡盘100a,会将该静电卡盘100a的陶瓷200暴露于该酸性溶液中。该陶瓷200的接收表面210a浸泡在一种至少能部份移除形成于该接收表面210a与陶瓷200内这些气孔205部位的制程淀积物的酸性溶液中。该酸性溶液对于该陶瓷200而言必须是足够惰性的,使得该陶瓷200的接收表面210a暴露至该酸性溶液的整个过程中能实质上不受损害。由于该金属基座215暴露至酸性溶液中可能发生腐蚀性的损害,故可在不使该金属基座215暴露至酸性溶液的情况下,将该酸性溶液施用至该陶瓷200。
将该构件100暴露至该酸性溶液的条件,是设定在足以移除该构件100的表面210上的大部份制程淀积物,但不致于损伤该构件100的情况下。举例来说,该酸性溶液可维持在约70℃至90℃的温度,例如80℃。该构件100暴露至该酸性溶液的时间则约介于5分钟至45分钟,例如约介于10至30分钟。
该酸性溶液包含多种溶解的酸性物质,其能通过与该制程淀积物反应生成可溶解于酸性溶液中的物质,而移除该构件100的表面210上的制程淀积物。该酸性溶液的组成是根据该制程淀积物与该构件表面210的成分来选择。在一范例中,该酸性溶液包含氢氟酸(HF)。氢氟酸能与累积在该构件表面210上杂质发生反应并溶解,例如发生在氧化铝陶瓷结构中的二氧化硅、氧化钙(CaO)或氧化镁(MgO)等杂质。该酸性溶液可额外包含或选择包含诸如硝酸(HNO3)等非氟化物酸类。该非氟化物试剂提供较温和的化学物种,以允许在形成较少腐蚀缺陷的情况下清洗与制备该构件表面210。其它适当的酸性物种包括如盐酸(HCl)、磷酸(H3PO4)与硫酸(H2SO4)。
在一示范性的实施例中,该酸性溶液包含一种由氢氟酸、硝酸与去离子水所构成的水溶液。该水溶液中的硝酸对氢氟酸的重量比例约介于3至4,例如约为3.5。举例而言,使用强度为49%(w/w)的氢氟酸溶液与强度为70%(w/w)的硝酸溶液以及去离子水,配制出包含约4%的氢氟酸与10%的硝酸的水溶液。
当该构件100暴露至该酸性溶液,该构件100可能再次施以机械性钻孔步骤,以移除残留在这些气孔205中的制程淀积物。此第二次钻孔步骤有助于确保这些气孔205的想要的开孔形状。例如,若该金属基座215未暴露至该酸性溶液时,这些气孔205位于该陶瓷200内的部位中的制程淀积物虽已被移除,但其位于该金属基座215中的制程淀积物却仍残留着。该第二次钻孔步骤确保这些气孔205位于该金属基座215中的部位内的有效空间能被清洗干净。
在经过机械与酸性清洗步骤后,可电性测试该静电卡盘100a,以确保该静电卡盘100a的电性特性能够令人满意。例如,可测试该静电卡盘100a以确保其电极220能产生足够的卡盘电压(chucking voltage),而能以够强且足够均匀的力量来固持该基板115。为了执行该测试,将电线(未显示)连接至该静电卡盘100a上的两个或多个点,并将一电位施加至这些电线之间,以测量这些点之间的电阻。根据这些点之间的距离,可测出该静电卡盘100a之陶瓷的电阻。此外,也可通过在该电极电压供应器135与该电极220之间插入一安培计,而从电极220测出漏电电流。
再者,并于该反应腔105中通过将该构件100暴露至一能量化气体以稳定该构件100。在一范例中,该能量化气体是一非反应性气体。首先,将该构件100置入该反应腔105中。随后,将一实质上对于该构件材料而言是惰性的一非反应性气体导入该等离子区110中。例如,该非反应性气体包含氮气。氮气实质上是一惰性气体,且相对来说价格较为便宜。或者,该非反应性气体可包含氩气。氩气具有一相对较高的密度,且由于其为贵重气体,故其特别惰性。于该等离子区110中以该非反应性气体来形成一等离子。当该构件100的稳定化步骤完成时,将该非反应性气体自该反应腔105中排出。
使该构件100暴露至一非反应性等离子可将已蒸发的污染物自该构件100上去除,此动作可称之为「除气(outgassing)」。例如,当该静电卡盘100a的陶瓷200包含一部份呈孔状的陶瓷时,化学物质可能会陷落在该些孔隙中。当该陶瓷暴露至该等离子中时,这些化学物会蒸发并从该些孔隙中释出。若该构件100未于此前置步骤中进行除气处理,则该构件100将于在反应腔105中处理该基板115的过程中进行除气,而造成升高该反应腔105的压力与污染该基板115等不想要的情况。
除了除气方面之外,该构件100能适应该等离子中诸如温度、压力与电磁场等物理条件。由于在该基板115的实际处理过程中,该构件100不会与该反应气体发生预期之外的反应,而增加该构件在实际基板处理过程中的稳定性。例如,该稳定化步骤改善该构件100对该反应性气体的腐蚀作用的抵抗性。因而改善该反应腔构件100的性能与提高其使用寿命。
回到图1中所显示的基板处理设备120,该反应腔105包含多个侧壁125、一底面(未显示)与一面对该基板115的顶壁130。该顶壁130可作为一阳极(anode),且可能接地(grounded,如图所示)或被一电源供应器所电性偏压(未显示)。该反应腔105可由各种材料中的任一种所制成,包括金属、半导体、陶瓷、玻璃、高分子与复合材料等。例如,常用来制造该反应腔105的金属包括铝、阳极化铝与商品名分别为HAYNES 242、A1-6061、SS 304、SS 316与INCONEL等金属。该顶壁130可包含平坦、长方形、拱形、圆锥形、圆顶形或多半径拱形(multiradius-arcuate shape)等形状。如图1所示的设备120的特定实施例适用于一基板115上制造电子组件的制程,且用作说明本发明。此特定实施例并不能用来限制本发明范围。
该反应腔105的静电卡盘100a适用于静电固持该基板115,且可调控该基板115的温度。该静电卡盘100a连接至一电极电压供应器135,以该基板115以静电方式固持于该处理区110中。该电极电压供应器135包含一交流电压供应器140,其能施加一交流电压至该电极220,以影响该等离子中离子能量。一直流电压供应器145会偏压该电极220,以于该基板115上创造出一向下静电力。提供一热置换装置(heat displacer)150,以使该热转移气体通入该供应通道230中,并穿过该这些气孔205而与位于该静电卡盘100a上的该基板115背面接触。例如,该热置换装置150可能包含:一泵(未显示),以使该热转移气体反复循环于该供应通道230中;以及一散热件(heat sink,未显示)以将该循环的热转移气体中的热量导出。
该反应腔105可为一化学气相淀积室(CVD),用以将材料淀积于一基板115上,例如可淀积诸如多晶硅、氮化硅、氧化硅或硅化金属等含硅材料,或淀积诸如铝或铜等含金属材料,又或是淀积任何其它材料于该基板115上。举例而言,可利用含有由硅烷(SiH4)、氯化硅烷(SiClH2)、二氧化碳(CO2)、氢气(H2)、氧化亚氮(N2O)、四乙氧基硅(Si(OC2H5)4)、氨气(NH3)与氮气(N2)中之一种或多种所构成的处理气体,通过化学气相淀积法来形成诸如二氧化硅或四氮化三硅等绝缘材料或介电材料。或者,可将含有一金属元素、金属化合物或金属合金的含金属材料形成于该基板115上。举例而言,可利用含有六氟化钨(WF6)、氢气与硅烷中的一种或多种气体的处理气体来淀积出一含钨材料;或是利用如含有五氯化钼(MoCl5)与氢气的处理气体来形成一含钼材料;以及,利用混有氩气或氢气或两者的三氯化铝(AlCl3)或三甲基铝来形成一含铝材料。
一气体能量化装置(gas energizer)180可激发导入该反应腔105中的处理气体以形成一等离子。该气体能量化装置180将诸如射频功率(RF功率)等电磁功率接引至该处理气体中。一适当的气体能量化装置180包括一诱导天线184。其具有一个或多个诱导线圈188位于该反应腔105的顶壁130的上方。在一实施例中,该线圈188具有一个沿着该反应腔105的中心轴环绕的圆形对称结构,以提供该处理气体一良好的诱导电流(inductiveflux)。在此实施例中,该顶壁130可包含一种允许该电磁能量通过的介电材料,例如硅或二氧化硅。一天线电源供应器192是通过一匹配网络196将诸如RF功率等交流功率施加至该天线上,且该匹配网络196可调整所施加的功率,以使该连接至该处理器体的诱导功率最适化。
在反应腔105中的处理气体通过排气系统133来排出,该排气系统133包含一排出导管136、一排出管线139、一节流阀142与泵146,且该泵146可包含低真空泵(roughing pump)与涡轮分子泵(turbo-molecular pump)。这些泵146可进一步包含洗涤系统(scrubber system)以清除该排出气体。该排出导管136在该反应腔105中用以接收该排出气体的一部位或通道,且通常环绕着该反应腔105的外围。该排出管线139将该排出导管136连接至该泵146,且位于该排出管线139中的节流阀142可用来控制该反应腔105中的处理气体的压力。
可利用一控制器154来执行该反应腔105中的基板处理制程。该控制器154包含一中央处理器(CPU),其与一内存及多样外围控制设备连结。该中央处理器可以包含诸如一68040微处理器,其可购自SynergyMicrosystems Inc.,San Diego,California。该控制器154包含一计算机程序,该程序包含植入诸如该控制器154的内存等计算机可读媒体装的程序代码。该程序代码可由任何传统计算机可读程序语言所编写,例如汇编语言(assembly language)或C++。利用传统文字编辑器将适当的程序代码写入至一单一文件或多个文件中,并储存或植入该计算机可读媒体中。若该写入的程序代码是一高级语言,则编译该程序代码,随后将所产生的编译程序代码与一个预先编译好的windows库存程序的一对象程序代码链接。执行该经链接且编译后的对象程序代码,该操作者调用该程序代码,以造成该控制器154将该对象程序代码加载到该计算机可读媒体。该中央处理器读取并执行该程序代码,以执行上述计算机可读媒体中所认定的任务。
在该反应腔100的稳定化步骤的一示范性实施例中,该构件安装在该反应腔105中。一空白硅晶片放置于该静电卡盘100a上,以作为一模拟基板(dummy substrate)。该气体分配装置155引导一包含有氮气与氩气的混合物的非反应性气体进入该处理区110中,同时该节流阀142维持关闭状态,以累积该处理区110中的压力。当该处理区110中的气体压力约达到100毫托耳(mTorr)时,该天线电源供应器192施加一约介于200瓦特至1000瓦特的RF功率至该诱导天线184上,以由该非反应性气体点燃一具有指定等离子密度且适于进行稳定化的等离子。开启该节流阀142,以允许该非反应性气体的气流流经该处理区域110。随后,该电极电压供应器135施加一功率约介于100至300瓦特之间的RF偏压至该电极220上(例如200瓦特),以使该等离子达到适于进行稳定化的指定离子能量强度。在该稳定化步骤结束之前,该等离子持续约5至20分钟。
根据本发明上述方法所清洗后的一构件100能较彻底清洗干净,在清洗过程中造成较少损害,且相较于经传统清洗方法所清洗过的构件而言,利用本发明方法所清洗的构件具有较长的使用时间。例如,该静电卡盘100a的寿命可能至少延长两倍。
虽然本发明的示范性实施例已经显示和叙述,然而该领域中具有通常知识的人可在不偏离本发明范围下,设计出本发明的其它实施例。例如,该清洗的反应腔构件100可为除本文中特别提到的这些构件以外的构件100。而且除了上述材料以外,该静电卡盘100a的陶瓷200与金属基座215可包含已知技术的人所熟知的其它材料。再者,如「下方」、「上方」、「底部」、「顶部」、「上」、「下」、「第一」与「第二」以及其它相对用语或位置用语是对照于这些图示中所示的示范性实施例,且可根据标的物作旋转或空间上的变动而互相对调。因此,文中用来显示本发明的这些较佳实施例、材料或特殊配置的叙述并不限制所附权利要求的范围。

Claims (11)

1.一种清洗一反应腔构件的淀积物的方法,该构件具有多个气孔,该方法包括:
a)机械性地钻挖(pinning)所述构件的所述气孔,以清洗所述气孔中的制程淀积物;
b)暴露所述构件至一酸性溶液;以及
c)通过下列步骤以等离子稳定所述构件:
1)将所述构件置入一等离子区中;
2)引导一气体至所述等离子区中;
3)于所述等离子区中形成一所述气体的等离子;以及
4)将所述气体排出所述等离子区。
2.如权利要求1所述的方法,其包含在所述b)步骤之前与之后,重复执行所述a)步骤。
3.如权利要求1所述的方法,其中所述构件包含一陶瓷,所述陶瓷覆盖住一电极,以及其中所述b)步骤包含将所述陶瓷暴露至一含有氢氟酸与硝酸的酸性溶液中。
4.如权利要求3所述的方法,其中所述酸性溶液包含硝酸与氢氟酸,且两者的重量比例约介于3至4之间。
5.如权利要求3所述的方法,其中所述静电卡盘包含一金属基座,所述金属基座位于所述陶瓷下方,以及其中所述b)步骤包含将所述卡盘的陶瓷充分暴露至所述酸性溶液,不使所述金属基座暴露至所述酸性溶液。
6.如权利要求1所述的方法,其中所述c)步骤包括引导一含有氩气或氮气的非反应性气体进入所述等离子区中。
7.如权利要求1所述的方法,在所述c)步骤之前,先测试所述陶瓷的电阻。
8.一种用以清除一反应腔构件的多个气孔中的制程淀积物的钻孔工具,所述钻孔工具包括:
a)一外罩;以及
b)多个可从所述外罩伸出的长型针具,所述长型针具被间隔开来,以匹配所述反应腔的构件中所述气孔的布局。
9.如权利要求8的所述的钻孔工具,进一步包括一轴,所述轴是以可旋转的方式连接至所述外罩,其中所述轴定义出一纵向轴轴心,所述针具定义出多个纵向针具轴心,且所述轴机械性地连接至所述针具,使得所述轴围绕所述轴轴心旋转时,能造成所述针具围绕所述针具轴心旋转。
10.如权利要求9中所述的钻孔工具,其中所述针具是配置一环形。
11.如权利要求9所述的钻孔工具,其中所述针具包含一研磨涂层。
CN2004800140410A 2003-05-22 2004-04-14 反应腔构件的清洗 Expired - Lifetime CN1795058B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/444,284 2003-05-22
US10/444,284 US7045020B2 (en) 2003-05-22 2003-05-22 Cleaning a component of a process chamber
PCT/US2004/011541 WO2004105972A1 (en) 2003-05-22 2004-04-14 Cleaning a component of a process chamber

Publications (2)

Publication Number Publication Date
CN1795058A true CN1795058A (zh) 2006-06-28
CN1795058B CN1795058B (zh) 2011-09-14

Family

ID=33450616

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800140410A Expired - Lifetime CN1795058B (zh) 2003-05-22 2004-04-14 反应腔构件的清洗

Country Status (8)

Country Link
US (1) US7045020B2 (zh)
EP (1) EP1635962B1 (zh)
JP (1) JP4668915B2 (zh)
KR (1) KR101045442B1 (zh)
CN (1) CN1795058B (zh)
DE (1) DE602004021254D1 (zh)
TW (1) TWI298176B (zh)
WO (1) WO2004105972A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
CN101632158B (zh) * 2007-03-14 2011-07-20 朗姆研究公司 用于清洁等离子体反应室的组合喷淋头电极的清洁套件和方法
CN102373445A (zh) * 2010-08-25 2012-03-14 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法
CN115244214A (zh) * 2020-03-17 2022-10-25 东京毅力科创株式会社 清洁方法和半导体装置的制造方法

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7648582B2 (en) * 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
US7993465B2 (en) 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080145556A1 (en) * 2006-12-15 2008-06-19 Tokyo Electron Limited Method for manufacturing substrate mounting table
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
KR100987977B1 (ko) * 2008-08-21 2010-10-18 (주)트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102181844B (zh) 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7166950B2 (ja) * 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
EP4023349A4 (en) * 2019-08-28 2022-10-19 Shinryo Corporation WASHING PROCESS FOR COMPONENT OF SEMICONDUCTOR MANUFACTURING APPARATUS WITH GAS BORES
US20210265137A1 (en) * 2020-02-26 2021-08-26 Intel Corporation Reconditioning of reactive process chamber components for reduced surface oxidation
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3609920A1 (de) * 1986-03-24 1987-10-01 Erich Brosa Verfahren zur herstellung von stabilen implantationen fuer zahnersatz im knochen des kiefers
JPH0437666Y2 (zh) * 1987-07-30 1992-09-03
US4853081A (en) * 1987-10-30 1989-08-01 Ibm Corporation Process for removing contaminant
IE904358A1 (en) * 1990-12-04 1992-06-17 Grohe Kg Hans Shower unit with internal unclogging device
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5507874A (en) 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5671119A (en) 1996-03-22 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for cleaning an electrostatic chuck of a plasma etching apparatus
US5746928A (en) 1996-06-03 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Process for cleaning an electrostatic chuck of a plasma etching apparatus
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP3575349B2 (ja) * 1999-09-27 2004-10-13 日立プラント建設株式会社 アルミノシリケートガラス基板の洗浄液及び洗浄方法
US6926016B1 (en) * 2001-02-15 2005-08-09 Quantum Global Technologies, Llc System for removing contaminants from semiconductor process equipment
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP4409134B2 (ja) * 2001-10-09 2010-02-03 パナソニック株式会社 実装システム
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101632158B (zh) * 2007-03-14 2011-07-20 朗姆研究公司 用于清洁等离子体反应室的组合喷淋头电极的清洁套件和方法
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
CN102373445A (zh) * 2010-08-25 2012-03-14 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
CN115244214A (zh) * 2020-03-17 2022-10-25 东京毅力科创株式会社 清洁方法和半导体装置的制造方法
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法

Also Published As

Publication number Publication date
EP1635962A1 (en) 2006-03-22
WO2004105972A1 (en) 2004-12-09
JP4668915B2 (ja) 2011-04-13
TWI298176B (en) 2008-06-21
DE602004021254D1 (de) 2009-07-09
CN1795058B (zh) 2011-09-14
US7045020B2 (en) 2006-05-16
KR20060017612A (ko) 2006-02-24
US20040231706A1 (en) 2004-11-25
TW200428479A (en) 2004-12-16
EP1635962B1 (en) 2009-05-27
JP2006528551A (ja) 2006-12-21
KR101045442B1 (ko) 2011-06-30

Similar Documents

Publication Publication Date Title
CN1795058B (zh) 反应腔构件的清洗
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
CN1258805C (zh) 半导体处理室电极及其制作方法
TWI427684B (zh) 用於現場基底處理之方法及裝置
US8956461B2 (en) Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7811409B2 (en) Bare aluminum baffles for resist stripping chambers
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
CN1169199C (zh) 用于铜互连的阻挡层的形成方法
EP1180785A2 (en) Means for directing a flow of gas in a substrate processing chamber
CN1975998A (zh) 用于真空处理装置的静电吸盘、具有该静电吸盘的真空处理装置、及其制造方法
CN1148466C (zh) 干式蚀刻器中残留气体的去除装置及去除方法
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
CN1681079A (zh) 用于前段工艺制造的原地干洗腔
JP2006035213A (ja) 窒化チタンの除去方法
CN1805123A (zh) 选择性腐蚀氧化物的方法
CN1682345A (zh) 用于等离子体加工系统中的改进的波纹管罩的方法和装置
KR20200124316A (ko) 정전 척들을 위한 보호 코팅
JP5529919B2 (ja) 基材の処理方法
CN1653589A (zh) 等离子体反应室用硅部件
CN1839218A (zh) 用于沉积具有可调节的性质的材料的方法和装置
CN1582487A (zh) 等离子体处理装置
WO2007140409A9 (en) Apparatus and method for processing a hydrophobic surface of a substrate
JP2008095126A (ja) 基板処理装置
JP3365742B2 (ja) プラズマcvd装置
KR100870525B1 (ko) 기판 세정 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Lara California city Shengdake America

Patentee after: APPLIED MATERIALS, Inc.

Address before: Lara California city Shengdake America

Patentee before: APPLIED MATERIALS, Inc.

CX01 Expiry of patent term

Granted publication date: 20110914