KR20060017612A - 프로세스 챔버의 부품 세정하는 방법 및 장치 - Google Patents

프로세스 챔버의 부품 세정하는 방법 및 장치 Download PDF

Info

Publication number
KR20060017612A
KR20060017612A KR1020057022218A KR20057022218A KR20060017612A KR 20060017612 A KR20060017612 A KR 20060017612A KR 1020057022218 A KR1020057022218 A KR 1020057022218A KR 20057022218 A KR20057022218 A KR 20057022218A KR 20060017612 A KR20060017612 A KR 20060017612A
Authority
KR
South Korea
Prior art keywords
gas
component
process deposits
cleaning
ceramic
Prior art date
Application number
KR1020057022218A
Other languages
English (en)
Other versions
KR101045442B1 (ko
Inventor
아시스 브하트나가
찰스 에스. 쿤제
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060017612A publication Critical patent/KR20060017612A/ko
Application granted granted Critical
Publication of KR101045442B1 publication Critical patent/KR101045442B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Abstract

프로세스 챔버의 부품 상에 형성된 프로세스 증착물은 세정된다. 상기 세정 방법에 있어서, 상기 부품의 가스공은 기계적으로 피닝되어 상기 프로세스 증착물을 세정한다. 부품의 세라믹은 그후 불화 수소산 및 질산 용액과 같은, 산성 용액에 노출된다. 가스공에 대한 기계적 피닝은 산성 세정 단계 후에 반복될 수 있다. 부품은 그후 플라즈마 구역 내로 무반응 가스를 유입하여 플라즈마 구역 내에서 무반응 가스의 플라즈마를 형성하여 플라즈마 구역에서 플라즈마 안정화 처리 된다. 일 실시예어서, 부품은 정전 척을 포함하며, 정전 척은 간극을 덮고 가스공을 갖는 세라믹을 포함한다.

Description

프로세스 챔버의 부품 세정하는 방법 및 장치{CLEANING A COMPONENT OF A PROCESS CHAMBER}
본 발명의 실시예는 프로세스 챔버에서 기판의 처리에 이용되는 부품을 세정하는 것에 관한 것이다.
전자 회로 및 디스플레이를 제조하기 위해, 반도체 웨이퍼 또는 디스플레이와 같은 기판은 챔버 내에 위치되며 프로세스 가스의 플라즈마에서 처리된다. 예를 들면, PVD 프로세스에서, 플라즈마는 스퍼터링 재료의 타깃을 스퍼터링하여 기판 상에 스퍼터링된 타깃 재료를 증착시킨다. CVD 프로세스에서, 증착 가스의 플라즈마는 기판 상에 재료를 증착하기 위해 이용된다. 에칭 프로세싱에서 플라즈마는 기판 상의 재료를 에칭하는데 이용된다. 챔버는 프로세싱 동안 플라즈마에 노출되는 다수의 부품, 예를 들면, 기판을 지지하는 기판 지지대, 라이너, 가스 분배 또는 배기 링, 및 포커스 링을 갖는다.
이러한 기판 공정에서, 플라즈마는 주기적으로 세정되어야 하는 챔버 부품의 기판 상에 프로세스 증착물을 형성한다. 하나의 세정 방법에서, 챔버 부품은 챔버로부터 제거되며 산성 또는 염기성 용액과 같은, 세정 용액에 침지된다. 또 다른 방법에서, 부품은 챔버 내에 남아 있고, 세정 가스가 챔버 내로 유입되고 세정 가 스의 플라즈마를 형성하여 프로세스 증착물을 세정한다. 그러나, 이러한 세정 방법은 종종 나중에 부품에 화학적으로 접착되거나 특히 두꺼운 층으로 증착되는 프로세스 증착물을 남긴다.
가스 분배기, 라이너 및 정전 척(electrostatic chuck)과 같은 부품은 그 안에 가스 통로용 홀을 가지고 있어서 또는 다른 이유 때문에 세정하기가 특히 어렵다. 예를 들면, 가스 분배기는 챔버 내로 프로세싱 가스를 배출하는 다수의 가스공을 가지고 있다. 정전 척은 또한 기판 아래로 전열 가스(heat transfer gas)를 배출하는 가스공을 가지고 있다. 통상적인 척에서, 가스공은 서로 이격되며 세라믹 표면 주위에 분포되어 기판 아래로 목표된 위치에 전열 가스를 공급한다. 홀은 보통 작아서 정전 척을 사용하는 동안 플라즈마 또는 글로우 방전이 홀 내부에서 형성되는 것을 방지한다. 통상의 세정 프로세스는 이러한 가스 플라즈마에 의해 작은 홀 내부에 형성되는 프로세스 증착물을 종종 적합하게 세정하지 못한다. 예를 들면, 습식 세정 프로세스에 사용되는 산성 또는 염기성 용액은 가스공이 프로세스 증착물로 충전되거나 용액이 작은 홀에 유입되는 것을 표면 장력이 방해하기 때문에 가스공 내로 유입될 수 없다. 건식 세정 프로세스에서, 플라즈마는 또한 종종 홀 내로 완전하게 퍼지지 않는다. 이러한 홀이 적합하게 세정되지 않으면, 정전 척은 기판 아래에 가스를 불균일하게 분배하며, 기판이 적합하게 냉각되거나 가열되지 않는다. 작은 가스공을 갖는 가스 분배기 또는 라이너를 세정할 때 동일한 문제에 직면한다.
세라믹 표면, 예를 들면 척의 세라믹 표면 상에 프로세스 증착물을 세정하려 할 때 또 다른 문제가 발생한다. 세라믹 척은 침식 가스 플라즈마 환경으로부터 화학 침식에 대한 저항 및 열에 대한 양호한 공차를 제공한다. 그러나, PVD 및 CVD 증착물은 종종 세라믹 표면에 강하게 접착되어 통상적인 세정 방법을 이용하여 제거하기 어렵다. 세라믹 표면은 화학적으로 강한 세정 용액에 의해 침식될 수 있다. 세라믹 재료의 취성은 세라믹에 파단이나 마이크로-균열을 야기하는 것 없이, 또한 마찰에 의해 표면을 세정하거나, 세라믹 재료 내의 가스공을 세정하는 것을 어렵게 한다. 세라믹 표면이 손상될 때, 챔버 플라즈마는 손상된 영역을 관통하여 아래에 있는 노출된 표면을 침식시키며, 또한 척 전극과 아크 및 전기 단락을 야기시켜, 결국 프로세싱 동안 척의 고장 및 기판의 손실을 가져온다.
따라서, 챔버 부품을 효과적으로 세정하여 부품이 플라즈마 프로세싱 환경에서 바람직한 표면 성질을 갖도록 하는 것이 바람직하다. 정전기 척 또는 다른 부품의 모든 가스공을 균일하게 세정하여 세정된 구조물이 챔버 내에서 가스의 균일한 분배를 제공하는 것이 또한 바람직하다. 또한 세정 프로세스가 일관되고 재생산 가능한 세정 결과물을 제공하는 것이 더 바람직하다.
본 발명의 이러한 특징, 양태, 및 이점은 다음의 상세한 설명, 첨부된 청구범위, 및 본 발명의 실시예를 도시하는 첨부되는 도면에 의해 더 용이하게 이해될 것이다. 그러나, 각각의 특징은 단지 특정의 도면 상황에 국한되지 않고 일반적으로 본 발명에서 이용될 수 있으며, 본 발명이 다음 특징의 어떠한 결합도 포함한다는 것이 이해되어야 한다.
도 1은 프로세스 챔버를 포함하는 기판 프로세싱 장치의 개략적인 측단면도이고,
도 2a는 정전 척의 평면도이고,
도 2b는 도 2a의 측단면도이고,
도 3은 본 발명에 따라 세정 프로세스의 일 실시예를 도시한 순서도이고,
도 4a는 도 2a, 도 2b의 정전 척 및 정전 척의 가스공을 세정하도록 배치된 핀을 갖는 기계적 피닝 툴(mechanical pinning tool)을 도시한 도면이고,
도 4b는 정전척을 세정하기 위해 정전 척의 가스공에 맞물린 기계적 피닝 툴의 핀을 도시한 도면이고, 그리고
도 5은 기계적으로 핀에 맞물린 샤프트를 구비한 기계적 피닝 툴 저면의 횡단면도이다.
프로세스 챔버(105)의 부품(100)은 프로세스 챔버(105)에서 활발한 이용 동안 부품(100)의 표면에 축적되는 프로세스 증착물을 제거하기 위해 세정된다. 프로세스 챔버(105)는 반도체 웨이퍼 또는 평면 패널 디스플레이와 같은, 기판(115)이 플라즈마에 의해 프로세싱 되는 프로세스 구역(110)을 한정한다. 프로세스 챔버(105)는 도 1에 도시된 예시적 실시예인, 기판 프로세싱 장치(120)의 부분이다. 부품(100)은 도 2a의 평면 사시도로 도시된 실시예인, 세라믹(200)에서와 같이, 복수의 가스공(205)을 갖는다. 예를 들면, 가스공(205)은 프로세스 챔버(105) 내로 전열 가스 또는 프로세스 가스를 전달하도록 형태와 크기가 정해질 수 있다. 부품(100) 세정은 플라즈마 노출 동안 부품(100)의 표면(210) 상에, 및 가스가 관통해 흐를 때 가스공(205)의 내측 표면을 따라 축적된 프로세스 증착물을 제거한다.
일 양태에서, 부품(100)은 프로세스 챔버(105) 내로 프로세스 가스를 유입시켜 기판(115)을 프로세싱하는 가스 분배기(155)를 포함한다. 가스 분배기(155)는 가스 공급부(165)로부터 프로세스 챔버(105)의 하나 이상의 가스 배출부(175a,b)로 프로세스 가스를 운반하는 가스 공급 도관(160a,b)을 포함한다. 가스 흐름 밸브(170)는 가스 공급 도관(160a,b)과 가스 배출부(175a,b)를 통하여 프로세스 가스의 흐름을 조절한다. 가스 배출부(175a,b)는 서로 이격되며 프로세스 구역(110) 내로 프로세스 가스를 균일하게 배출하도록 배치된다. 예를 들면, 가스 배출부(175a,b)는 기판(115, 도 1에 도시) 주위의 주변에 위치되는 2개 내지 12개의 배출부가 있을 수 있다. 다른 실시예에서, 가스 분배기(155)는 프로세스 구역(110)에서 프로세스 가스의 균일한 분배를 제공하도록 동일 평면상의 가스 분출부의 패턴을 통해 프로세스 구역(110) 쪽으로 측방향 및 하향으로 프로세스 가스를 분사하는 다공관 샤워헤드(미도시)를 기판 위에 포함한다.
다른 양태에서, 도 2a에서 평면도로서 및 도 2b에서 횡단면의 측면도로서 도시된 바와 같이, 챔버 부품(100)은 챔버(105)의 프로세스 구역(110)의 수용 표면(210a) 상에 기판(115)을 홀딩하도록 사용되는 정전 척(100a)을 포함한다. 정전 척(110a)은 금속 베이스(215)를 포함한다. 금속 베이스(215)는 ,예를 들면 알루미늄을 포함한다. 금속 베이스(215) 위에서, 세라믹(200)은 전극(220)을 포함하며 기판(115)이 유지되는 수용 표면(210a)을 포함한다. 세라믹(200)은 예를 들면, AI2O3 또는 AIN 층 또는 단일체를 포함할 수 있다. 세라믹(200)은 금속 베이스(215) 상으로 본딩되거나, 증착되거나, 또는 플라즈마 분사될 수 있다. 전극(220)은 도 2b에 도시된 바와 같이, 세라믹 단일체에 매몰된 금속 메쉬를 포함할 수 있고, 또는 전극(220)은 금속 베이스(215) 상에 층으로서 세라믹(200)을 갖는 금속 베이스(215)일 수 있다.
정전 척(100a)의 가스공(205)은 수용 표면(210a)에 상방으로 전열 가스를 전달하도록 구성되어 기판의 온도를 조절한다. 예를 들면, 가스공(205)은 프로세싱 동안 프라즈마에 의해 인가되는 열을 추출하여 기판(115)을 냉각시키는데 이용될 수 있다. 전열 가스는 헬륨 또는 다른 실질적으로 불활성 가스를 포함할 수 있다. 가스공(205)은 약 110 마이크론 내지 510 마이크론, 예를 들면 약 125 마이크론 내지 약 305 마이크론의 직경을 가질 수 있다.
일 실시예에서, 가스공(205)은 수용 표면(210a)으로부터, 세라믹(200)을 관통해, 금속 베이스(215)로 통과한다. 공급 채널(230)은 금속 베이스(215)를 관통해 측방향으로 연장되며 가스공(205)에 연결되어 가스공(205)에 전열 가스를 분사한다. 공급 채널(230)은 약 101 마이크론 내지 약 762 마이크론, 예를 들면 약 127 마이크론 내지 약 356 마이크론의 직경을 가질 수 있다.
추가적으로, 정전 척(100a)의 금속 베이스(215)는 도 2b에서 도시된 바와 같이, 금속 베이스(215)를 관통해 유체를 순환시켜 정전 척(100a)의 온도를 조절하는 유체 순환기(fluid circulator; 235)를 포함할 수 있다. 유체는 정전 척(100a)까지 또는 정전 척으로부터 열을 효과적으로 전달하는 충분히 높은 비열을 갖는다. 정전 척(100a)을 세정하기 전에, 유체 순환기(235)는 플러싱 되어 정전 척(100a)을 영구히 오염시킬 수 있는 어떠한 글리콜 및 물 혼합물이라도 제거한다.
또 다른 실시예에서, 부품(100)은 정전 척(100a)을 중심으로 배치되는 라이너를 포함한다. 라이너는 정전 척(100a)에 인접하여 가스를 전달할 수 있는 하나 이상의 가스공(205)을(205)를 갖는다. 일 실시예에서, 라이너는 정전 척(100a) 주위에 배치되며 환형이다. 복수의 가스공(205)은 라이너를 따라 환형으로 배치되어 정전 척(100a) 주변에 대해 프로세스 가스를 유입한다.
챔버 부품(100)을 세정하는 방법은 도 3의 예시적인 순서도에서 도시된다. 세정 방법은 부품(100)의 구조적 일체성 및 가스공(205)의 목표된 형태 및 크기를 유지면서 부품(100)으로부터 처리 증착물의 충분한 제거를 확보하는 연속적인 단계를 포함한다. 순서도의 점선 화살표 사이에서 지시되는 실시예에 대해, 특정의 단계는 선택적이지만 작동상 세정된 부품의 개선된 신뢰성을 가져올 수 있다.
세정 프로세스의 초기 단계에서, 부품(100)의 가스공(205)은 기계적으로 피닝되어 가스공(205)의 표면 내부로부터 프로세스 증착물을 느슨하게 하여 제거할 수 있다. 도 4a에 도시된 예시적 실시예인, 기계적 피닝 툴(400)은 가스공(205) 내로 조립되도록 형태와 크기가 정해지는 복수의 신장 핀(410)을 포함한다. 예를 들면, 신장 핀(410)은 약 110 마이크론 내지 약 510 마이크론의 직경을 가질 수 있다. 기계적 피닝 툴(400)은 상하로 이동되어 핀(410)이 가스공(205)의 내부를 관 통하여 가스공(205)으로부터 프로세스 증착물을 제거한다. 부품(100)이 금속 베이스(215)에 걸쳐 세라믹(200)을 포함하는 정전 척(100a)일 때, 핀(410)은 통상 세라믹(200)에 있는 가스공 부분을 관통해 삽입될 뿐 아니라 금속 베이스(215)에 있는 가스공(205) 부분 내로 또한 삽입된다.
일 양태에서, 핀(410)은 가스공(205) 내에서 2 이상의 자유도로 이동되어 완전한 세정 효과를 달성한다. 예를 들면, 핀(410)은 (ⅰ) 가스공(205)을 관통해 축선을 따라 입출입하게 및 (ⅱ) 추가적으로 가스공(205) 내에서 회전되도록 이동될 수 있다. 개선된 세정을 위해, 피닝 툴(400)의 핀(410)은 이러한 2 자유도를 통해 동시에 이동될 수 있다. 핀(410)은 또한 진동되어, 예를 들면 가스공(205)에서 축선으로 진동되거나 측방향의 전후방으로, 가스공(205)의 내부 표면으로부터 프로세스 증착물을 느슨하게 하여 제거할 수 있다.
핀(410)은 가스공(205)과 정합되도록 배치되고 크기가 정해지며 가스공(205)으로부터 원하지 않는 프로세스 증착물을 밖으로 플러싱한다. 도 4a의 정전 척에 도시된 실시예에서, 부품(100)에서 가스공(205)의 예정된 배치에 대해, 핀(410)은 도 4b에 도시된, 가스공(205)과 정합되는 대응하는 거울-상(mirror-image) 구성으로 배치된다. 피닝 툴(400)이 정전 척(100a)과 결합될 때, 다중 핀(410)은 평행하게 가스공(205)으로부터 프로세스 증착물을 외측으로 플러싱한다. 이러한 평행 세정은 매우 시간적으로 효과적이며 신뢰할 만하다. 예를 들면, 두 핀의 적합한 정합은 남아 있는 핀(410)이 또한 이들의 대응하는 가스공(205) 내로 적합하게 정합될 수 있게 하기 때문에 신뢰성은 증가된다. 모든 핀(410)의 정확한 동시 정합은 부품(205) 또는 핀(410)에 대한 손상 가능성을 감소시킨다.
핀(410)은 피닝 툴(400)로부터 제거 가능하도록 구성될 수 있다. 예를 들면, 하우징(415)은 핀(410)이 고정될 수 있는 수용부의 배열을 포함할 수 있다. 핀(410)은 부품(100)의 표면(210)을 가로질러 가스공(205)의 배치와 매칭되는 구성으로 수용부에 배치된다. 추가적으로, 미리 선택된 직경을 갖는 핀(410)은 수용부 내로 삽입되어 대략 동일한 직경을 갖는 가스공(205)을 세정할 수 있다. 이러한 모듈성은 피닝 툴(400)이 상이한 타입의 정전 척(100a) 또는 상이한 기능을 갖는 상이한 타입의 챔버 부품(100)까지도 세정하는데 이용되도록 하여, 쉽게 마멸되지 않는 효율적인 비용의 피닝 툴(400)을 가져온다.
일 실시예에서, 부품은 하나 이상의 환형으로 배치되는 가스공(205)을 포함한다. 예를 들면, 정전 척(100a)은 바닥에 서로 이격되는 가스공(205)이 있는 트랜치(240)를 포함할 수 있다. 핀(410)은 트랜치(240)에서 가스공(205)의 환형 배열에 대응하는 배치에서 하우징(415)으로부터 돌출된다. 예를 들면, 도 2a 및 도 2b에 도시된 실시예에서, 정전 척(100a)은 정전 척(100a)의 원주에 대해 두 개의 트랜치(240)에 두개의 동심 환형으로 배치되는 가스공(205)을 포함한다. 도 4a에 도시된 바와 같이, 매칭되는 피닝 툴(400)은 정전 척(100a)의 가스공(205)의 간격에 대해 이격되는 두개의 대응하는 환형 구성의 핀(410)을 포함한다. 도 4b에서 도시된 바와 같이, 피닝 툴(400)이 정전 척(100a)와 결합할 때, 핀(410)은 핀에 대응하는 가스공(205)을 관통하여 다중 가스공(205)으로부터 프로세스 증착물을 동시에 제거한다.
피닝 툴(400)이 가스공(205)의 수 보다 더 적은 핀(410)을 포함한다면, 피닝 툴(400)은 상이한 가스공(205) 사이에서 부품(100)을 가로질러 이동된다. 예를 들면, 피닝 툴(400)은 가스공(205)의 수보다 더 적은 핀(410)으로 부착되는 하우징(415)을 포함할 수 있다. 핀 삽입 사이에서, 하우징(415)은 가스공(205)의 연속적인 그룹을 세정하도록 직선 운동 되고(translated) 회전된다. 가스공(205) 세정은 순차적으로 몇몇의 환경에서, 예를 들면 상이한 가스공(205)이 상이한 높이의 프로세스 증착물 형성을 할 때 선호될 수 있다. 순차적 세정은 각각의 가스공(205)이 완전히 플러싱 될 때 얼마나 많은 마찰 저항이 발생되는지에 따라 다양한 가스공(205)에서 프로세스 증착물 형성의 상대적 높이를 나타낼 수 있다. 프로세스 증착물 형성의 실질적인 다른 높이에 대해, 이러한 방법은 핀(410)에 대한 손상 가능성을 더욱 감소시킨다. 예시적 실시예에서, 30개의 핀(410)이 30 개의 가스공(205) 내로 힘을 받고 있지만, 오직 하나의 가스공(205)만이 충분한 프로세스 증착물 형성을 가져서 이에 대응하는 핀(410)의 통로를 막히게 한다면, 30개 핀에 인가된 모든 힘은 이러한 하나의 핀(410)에 집중될 것이다. 이러한 힘의 대량의 집중은 핀(410)의 파손을 야기할 수 있다. 순차적으로 세정되는 가스공(205)을 개별 그룹으로 분리하여, 이러한 위험이 실질적으로 회피된다.
일 양태에서, 핀(410)은 미세한 결이 있는 가스공(205)으로부터 프로세스 증착물을 더 효율적으로 제거한다. 예를 들면, 도 4a의 분해도에서 도시된 바와 같이, 세정 효율을 강화하기 위하여, 핀(410)은 연마 코팅(420)을 포함할 수 있다. 예를 들면, 핀(410)은 연마 입자의 코팅(420)을 포함할 수 있다. 일 실시예에서, 핀(410)은 예외적으로 내구성 있고 예리한 다이아몬드 분진의 코팅(420)을 포함한다. 핀(410)이 가스공(205) 내에서 이동될 때, 연마 입자는 프로세스 증착물에 대해 마찰되어 연마 입자의 날카로운 에지가 프로세스 증착물에 연마되어 절단되어 없어진다. 선택적으로, 핀(410)은 테이퍼 처리될 수 있고 가스공(205) 내부에 드릴링하는 그루브(미도시)를 포함할 수 있다. 테이퍼된, 그루브 핀(410)은 회전할 때, 가스공(205) 내부의 프로세스 증착물을 절단한다. 미세한 결이 난 핀(410)은 또한 어떠한 바라지 않는 재료라도 절단하여 가스공(205)의 바람직한 크기와 형태를 재생한다. 절단되는 재료는 잔류 프로세스 증착물 또는 부품(100)이 구성되는 재료, 예를 들면 정전 척(100a) 세라믹(200)의 세라믹 재료를 포함할 수 있다.
피닝 툴(400)은 전기 모터(430)에 기계적으로 결합되는 샤프트(425)를 포함하여 샤프트(425)가 전기 모터(430)가 작동될 때, 도 4b에 도시된 바와 같이, 회전할 수 있다. 더욱이, 도 5에 도시된 예시적 실시예에서, 샤프트(425)는 핀(410)에 기계적으로 결합되어 모터(430)가 길이 방향 샤프트 축선에 대해 샤프트(425)를 구동하고 있을 때 핀(410)이 자체의 개별적 길이 방향 축선을 중심으로 회전할 수 있다. 예를 들면, 샤프트(425)는 기어(미도시) 또는 가요성 밴드(미도시)에 의해 핀(410)에 기계적으로 결합되어 핀(410)에 샤프트(425)의 회전 운동을 전달할 수 있다. 각각의 핀이 삽입되는 동안, 샤프트(425)는 자체 개별 축선에 대해 핀(410)을 회전시키기 위해 회전한다. 전기 모터(430)는 핀(410)에 높은 회전 속도를 제공하여 가스공(205)의 세정 속도를 증가시킬 수 있으며 특히 더러운 가스공(205)을 효과적으로 세정할 수 있다. 일 실시예에서, 전기 모터(430)는 약 500 rpm(revolutions per minute) 내지 약 5000 rpm의 핀(410) 회전 속도를 발생시킨다. 핀(410)의 입출입 운동은 인간 조작자 또는 동시에 회전 운동하는 추가적인 모터에 의해 제공될 수 있다.
부품(100)의 가스공(205)에 기계적으로 피닝한 후에, 부품(100)은 산성 용액에 노출되어 한층 더 세정된다. 부품(100)은 산성 용액에 부품(100)의 적어도 표면(210)을 침적(dipping), 침지(immersing), 또는 그렇지 않으면 노출에 의해 세정될 수 있다. 가스공(205)의 내부 부분은 산성 용액을 가스공(205)으로 통과시켜, 예를 들면 부품(100)을 산성 용액이 가스공(205)을 통과하는 충분한 깊이로 산성 용액에 침지시켜 또한 세정될 수 있다. 전술한 기계적 피닝은 프로세스 증착물을 연마하여 프로세스 증착물의 작은 연마 파편이 가스공(205) 내에 남는다. 산성 용액은 프로세스 증착물의 입자를 세척하고 또한 기계적 피닝 동안 완전하게 제거되지 않은, 특히 부품(100) 표면(210) 상에 어떤 잔류 프로세스 증착물이라도 침식시키거나 연화시킨다.
예를 들면, 정전 척(100a)을 세정하기 위해, 정전 척(100a)의 세라믹(200)이 산성 용액에 노출된다. 세라믹(200)의 수용 표면(210a)은 세라믹(200) 내의 가스공(205)의 부분 및 수용 표면(210a)으로부터 프로세스 증착물을 적어도 부분적으로 제거할 수 있는 산성 용액에 침지된다. 산성 용액은 세라믹(200)의 수용 표면(210a)가 산성 용액에 노출되는 동안 실질적으로 손상이 없는 세라믹(200)에 충분히 비활성이다. 산성 용액에 금속 베이스(215)의 노출이 금속 베이스(215)를 침식시켜 손상시킬 수 있기 때문에 산성 용액은 금속 베이스(215)을 산성 용액에 노출 시키지 않고 세라믹(200)에 실질적으로 인가될 수 있다.
부품(100)이 산성 용액에 노출되는 조건은 부품(100)을 손상시키지 않고 부품(100)의 표면(210)으로부터 프로세스 증착물의 실질적인 양을 제거하도록 세팅된다. 예를 들면, 산성 용액은 약 70℃ 내지 약 90℃, 예를 들면 80℃의 온도에서 유지될 수 있다. 부품(100)은 약 5분 내지 약 45분, 예를 들면 약 10분 내지 약 30분의 시간 주기 동안 산성 용액에 노출될 수 있다.
산성 용액은 프로세스 증착물과 반응해서 산성 용액에 잘 용해되는 산성 종(acidic species)을 형성하도록 하여 부품(100)의 표면(210)으로부터 프로세스 증착물을 제거할 수 있는 용해된 산성종(acidic species)을 포함한다. 산성 용액의 성분은 부품 표면(210)의 구성 성분 및 프로세스 증착물의 구성성분에 따라 선택된다. 일 실시예에서, 산성 용액은 불화 수소산(HF)을 포함한다. 불화 수소산은 산화 알루미늄 세라믹 구조에서 발생할 수 있는 부품 표면(210) 상에 축적될 수 있는 불순물, 예를 들면 SiO2, CaO 또는 MgO과 반응하여 분해시킬 수 있다. 산성 용액은 추가적 또는 선택적으로 비-불화 산(non-fluorinated acid), 예를 들면 질산(HNO3)을 포함할 수 있다. 비-불화물은 더 침식성이 작은 화합물(aggressive chemical)을 제공할 수 있으며, 이는 침식 균열(erosion crack)의 형성을 감소시키는 부품 표면(210)의 세정 및 가공를 허용한다. 다른 적합한 산성종은 예를 들면, HCI, H3PO4, 및 H2SO4를 포함할 수 있다.
일 실시예에서, 산성 용액은 불화 수소산(HF), 질산(HNO3), 및 탈이온수의 수용액을 포함한다. 수용액에서, 중량에 의한, HF에 대한 HNO3 비율은 약 3 내지 약 4, 예를 들면 약 3.5일 수 있다. 예를 들면, 수용액은 HF의 49% 농도(중량/중량)에서 약 4 퍼센트 불화 수소산, HNO3의 70% 농도(중량/중량)에서 약 10 퍼센트 질산, 및 탈이온수를 포함할 수 있다.
산성 용액에 부품(100)의 노출 후에, 부품(100)은 다시 기계적으로 피닝되어 가스공(205)에 남아 있는 프로세스 증착물을 제거할 수 있다. 이러한 제 2 기계적 피닝 단계는 가스공(205)의 목표된 개구 형태를 보장하는데 조력한다. 예를 들면, 금속 베이스(215)가 산성 용액에 노출되지 않으면, 세라믹(200)에서 가스공(205)의 부분으로부터 세정되었던 타입의 프로세스 증착물이 금속 베이스 가스공(205)의 부분에 잔류될 수 있다. 제 2 기계적 피닝 단계는 충분한 공간이 금속 베이스(215)에 있는 가스공(205) 부분을 통해 세정되도록 보장한다.
기계적 산성적 세정 후에, 정전 척(100a)은 정전 척(100a)의 전기적 특성이 충족되는 것을 보장하도록 전기적으로 시험될 수 있다. 예를 들면, 정전 척(100a)은 상당한 척 전압이 전극(220)으로부터 발생되어 기판(115)을 충분히 높고 충분히 균일한 힘으로 홀딩하게 할 수 있다. 시험을 수행하기 위하여, 전기 도선(미도시)은 정전 척(100a)의 두개 이상의 지점 및 전기 도선 사이에 인가되는 전위에 연결되어 그 지점 사이의 전기 저항을 측정할 수 있다. 지점 사이의 거리에 기초하여, 정전 척(100a) 세라믹의 전기 저항비가 결정될 수 있다. 추가적으로, 전극(220)의 누설 전류는 예를 들면, 전극 전압 공급 장치(135)와 전극(220) 사이의 전류 통로 에 전류계(미도시)를 삽입하여 결정될 수 있다.
추가적으로, 부품(100)은 프로세스 챔버(105)에서 활성 가스에 노출시켜 안정화 처리될 수 있다. 일 실시예에서, 활성 가스는 무-반응 가스이다. 첫째, 부품(100)은 프로세스 챔버(105) 내로 삽입된다. 실질적으로 부품 재료에 삽입되는 무-반응 가스는, 프로세스 구역(110) 내로 유입된다. 예를 들면, 무-반응 가스는 질소를 포함할 수 있다. 질소는 실질적으로 비활성 가스이고 상대적으로 저비용인 경향이 있다. 선택적으로, 무-반응 가스는 아르곤을 포함할 수 있다. 아르곤은 상대적으로 높은 농도를 가지며, 특히 영족 기체이기 때문에 비활성이다. 플라즈마는 프로세스 구역(110)에서 무반응 가스로부터 형성된다. 부품(100)의 안정화 처리가 완성된 후, 무반응 가스는 프로세스 챔버(105)로부터 배출된다.
무-반응 플라즈마에 부품(100)의 노출은 부품(100)이 기체 방출(outgasing)이라고 불리는, 기화된 오염물의 제거를 하게 한다. 예를 들면, 정전 척(100a)의 세라믹(200)은 부분적 다공성 세라믹을 포함하면 화합물은 공극에 트랩될 수 있다. 세라믹이 플라즈마에 노출되면, 화합물이 기화되어 공극으로부터 이탈된다. 부품(100)이 전단계에서 기체 방출이 되지 않으면, 부품(100)은 챔버(105)의 기판(115)의 프러세싱 동안 기체 방출되며, 바람직하지 않게 프로세스 구역(110)의 압력을 증가시키고 기판(115)을 오염시킨다.
기체 방출에 추가적으로, 부품(100)은 플라즈마의 물리적 조건, 예를 들면 온도, 압력, 및 전자기장에 적합하게 된다. 이것은 부품(100)이 기판(115)의 실제 프로세싱 동안 이동되는 반응 가스에 예상외로 반응하지 않기 때문에 실제 기판 프 로세싱 동안 안정화 처리를 증가시킨다. 예를 들면, 안정화 처리 단계는 반응 가스에 의한 침식에 대한 부품(100)의 저항성을 개선시킨다. 이것은 성능을 개선하고 챔버 부품(100)의 작동 수명을 증가시킨다.
도 1에 간략하게 도시된 기판 프로세싱 장치(120)로 돌아와서, 프로세스 챔버(105)는 측벽(125), 바닥벽, 및 기판(115)과 대향하는 실링(130)을 포함한다. 실링(130)은 양극 역할을 하며 접지(미도시)되거나 전원(미도시)에 의해 전기적으로 바이어스될 수 있다. 챔버(105)는 금속, 반도체, 세라믹, 유리, 폴리머 및 복합재료를 포함하는, 어떠한 다양한 재료로도 제조될 수 있다. 예를 들면, 챔버(105)를 제조하는데 통상 사용되는 금속은 알루미늄, 산화코팅처리 알루미늄(anodized aluminum), "HAYNES 242", "AI-6061", "SS 304" "SS 316" 및 인코넬을 포함한다. 실링(130)은 평면, 사각형, 아치형, 원뿔형, 돔형 또는 다중 반경 아치 형태를 포함할 수 있다. 도 1에 도시된 장치(120)의 특별한 실시예는 기판(115) 상의 전자 장치의 제조에 적합하며, 본 발명을 설명하기 위해서만 제공된다. 이러한 특별한 실시예는 본 발명의 사상의 범위를 제한하지 않는다.
프로세스 챔버(105)의 정전 척(100a)은 정전기적으로 기판(115)을 홀딩하게 이루어지며 기판(115)의 온도를 조절한다. 정전 척(100a)은 프로세스 구역(110)에 있는 기판(115)을 정전기적으로 홀딩하기 위해 전극 전압 공급 장치(135)에 연결된다. 전극 전압 공급 장치(135)는 교류 전압을 전극(220)에 인가하여 플라즈마의 이온 에너지에 영향을 주는 교류 전압 공급 장치(140)를 포함한다. 직류 전압 공급 장치(145)는 전극(220)을 바이어스시켜 기판(115) 상에 정전기적 하향력을 발생 시킨다. 열 디스플레이서(150)는 전열 가스를 공급 채널(230)내로 통과시키고 가스공(205)을 관통하여 정전 척(100a) 상에 기판(115)의 후방면과 접촉하게 하기 위해 제공된다. 예를 들면, 열 디스플레이서(150)는 공급 채널(230)을 관통해 전열 가스를 재순환시키는 펌프(미도시) 및 재순환하는 전열 가스로부터 열을 전도시키는 방열판(heat sink; 미도시)을 포함할 수 있다.
프로세스 챔버(105)는 기판(115) 상에 재료를 증착시키는, 예를 들면 폴리실리콘, 실리콘 질화물, 실리콘 산화물 또는 금속 규화물과 같은 실리콘 함유 재료를 증착시키거나, 알루미늄 또는 구리와 같은 금속 함유 재료를 증착시키거나, 기판(115)를 상에 어떠한 다른 재료라도 증착시키는 화학 기상 증착(CVD) 챔버일 수 있다. 예를 들면, SiO2 또는 Si3N4와 같은 절연체 또는 유전체 재료는 SiH4, SiCIH2, CO2, H2, N2O, Si(OC2H5)4, NH3 및 N2 중 하나 이상을 포함하는 프로세스 가스를 이용하는 화학 기상 증착(CVD)에 의해 형성될 수 있다. 선택적으로, 원소 금속, 금속 화합물 또는 금속 합금을 포함할 수 있는 금속 함유 재료는 또한 기판(115) 상에 형성될 수 있다. 예를 들면, 텅스텐 함유 재료는 WF6, H2 및 SiH4 중 하나 이상을 포함하는 프로세스 가스를 사용하여 증착될 수 있으며, 몰리브덴 함유 재료는 예를 들면, MoCI5 및 H2를 포함하는 프로세스 가스를 사용하여 형성될 수 있으며, 그리고, 알루미늄 함유 재료는 예를 들면, Ar 또는 H2 또는 양자와 혼합된 AICI3 또는 AI(CH3)3를 이용하여 형성될 수 있다.
가스 활성기(gas energizer; 180)는 프로세스 챔버(105) 내로 유입되는 프로세스 가스를 활성화하여 플라즈마를 형성한다. 가스 활성기(180)는 RF 전력과 같은 전자기 전력을 프로세스 가스 내로 결합시킨다. 적합한 가스 활성기(180)는 챔버(105)의 실링(130) 위에 하나 이상의 유도 코일(188)을 갖는 유도 안테나(184)를 포함한다. 일 실시예에서, 코일(188)은 챔버(105)의 중심 축선을 중심으로 원형 대칭이어서 프로세스 가스에 양호한 유도 자속을 제공한다. 일 실시예에서, 챔버(105)는 실리콘 또는 실리콘 이산화물과 같은 전자기 에너지에 투과될 수 있는 유전 재료를 포함할 수 있다. 안테나 전원 공급장치(192)는 매칭 네트워크(196)를 통해 안테나에 RF 전력과 같은 교류 전력을 인가하여 프로세스 가스에 전력의 유도 결합을 최적화한다.
챔버(105) 내의 프로세스 가스는 배출 도관(136), 배출 라인(139), 스로틀 밸브(142), 및 펌프(146)를 포함하는 배출 시스템(133)에 의해 배출되며, 펌프는 러핑 및 터보-분자 펌프를 포함할 수 있다. 펌프(146)는 배출 가스를 세정하는 스크러버 시스템(scrubber system)을 더 포함할 수 있다. 배출 도관(136)은 챔버(105)에 제공되는 배출 가스를 수용하고, 기판(105)의 주변 주위에 일반적으로 위치되는 포트 또는 채널이다. 배출 라인(139)은 배출 도관(136)을 펌프(146)에 연결하고, 배출 라인(139)의 스로틀 밸브(142)는 챔버(105)에서 프로세스 가스의 압력을 제어하기 위해 이용될 수 있다.
챔버(105)에서 프로세싱되는 기판은 제어기(154)를 이용하여 수행된다. 제어기(154)는 메모리와 상호 연결되는 중앙 처리 장치 (CPU) 및 주변 제어 부품을 포함한다. CPU는 예를 들면, 캘리포니아, 샌디에고에 위치한 시너지 마이크로시스템즈(주)(Synergy Microsystems Inc.)로부터 상용으로 입수할 수 있는, 68040 마이크로프로세서를 포함한다. 제어기(154)는 컴퓨터 프로그램 제품을 포함하며, 이는 제어기(154)의 메모리와 같은 컴퓨터 가독형 매체(computer-readable medium)에 구현되는 프로그램 코드를 포함한다. 프로그램 코드는 예를 들면 어셈블리 언어 또는 C++와 같은, 어떠한 통상적인 컴퓨터 가독 프로그램 언어로도 작성될 수 있다. 적합한 프로그램 코드는 통상의 텍스트 편집기를 이용하여 단일 파일, 또는 다중 파일로 작성될 수 있고, 컴퓨터 가독형 매체에 저장되거나 구현될 수 있다. 작성된 코드 텍스트가 고급 언어이면, 코드는 컴파일되어, 결과적인 컴파일러 코드는 선컴파일된 윈도우 라이브러리 함수의 목적 코드와 링크된다. 링크되고 컴파일된 목적 코드를 수행하기 위해, 작동자는 프로그램 코드를 불러와서, 제어기(154)가 목적 코드를 컴퓨터 가독형 매체에 로딩하게 한다. CPU는 인식되는 작업을 수행하기 위해 프로그램 코드를 읽고 수행한다.
챔버 부품(100)을 안정화 처리하는 하나의 예시적 실시예에서, 부품(100)은 챔버(105)에 설치된다. 공 실리콘 웨이퍼(blank silicon wafer)는 "가(dummy)" 기판으로서 정전 척(100a) 상에 위치된다. 스로틀 밸브(142)가 프로세스 구역(110)에 압력을 축적하기 위해 폐쇄된 위치에 유지되는 동안 가스 분배기(180)는 프로세스 구역(110)으로 N2 및 Ar의 혼합물을 포함하는 무반응 가스를 유입한다. 프로세스 구역(110)의 압력이 약 100 m 토르에 도달할 때, 안테나 전원 공급 장치(184)는 약 200 와트 내지 1000 와트의 RF 전력을 유도 안테나(184)에 인가하여 안정화 처리에 적합한 예정된 플라즈마 밀도로 무반응 가스로부터 플라즈마를 충돌시킨다. 스로틀 밸브(142)는 프로세스 구역(110)를 관통하여 무반응 가스의 흐름을 허용하도록 개방된다. 그 후, 전극 전압 공급 장치(135)는 약 100 와트 내지 300 와트, 예를 들면 200 와트의 전력으로 전극(220)에 RF 바이어스를 인가하여, 안정화 처리에 적합한 플라즈마에서 예정된 이온 에너지를 달성한다. 플라즈마는 안정화 처리 프로세싱이 종료되기 전에, 5 분 내지 20 분 동안 유지된다.
전술된 방법에 따른 세정되는 부품(100)은 통상의 세정 프로세싱에 의해 세정되는 부품과 비교할 때, 더 완전하게 세정되며, 세정되는 동안 더 적게 손상되며, 연장된 작업 수명을 갖는다. 예를 들면, 정전 척(100a)의 수명은 두 배 이상 연장될 수 있다.
본 발명의 예시적인 실시예가 도시되고 설명된다 할지라도, 통상적인 기술의 실시예는 본 발명의 사상 내에서 본 발명과 결합된 다른 실시예를 안출한다. 예를 들면, 세정되는 챔버 부품(100)은 특별히 설명된 것과 다른 부품(100)일 수 있다. 또한, 정전 척(100a)의 금속 베이스(215) 및 세라믹(200)은 통상의 종래기술 중 하나로서 명백하게 특별히 설명된 것과 다른 재료를 포함할 수 있다. 더욱이, 용어 아래, 위, 바닥, 상단, 상방, 하방, 제 1 및 제 2, 및 다른 상대적 또는 위치적 용어는 도면에서 예시적인 실시예 각각에 보여지며 물체가 공간적으로 회전되거나 이동하는 한에 있어서는 교환될 수 있다. 그러므로, 첨부된 청구항은 본 발명을 설명하기 위하여 본 발명에서 설명된 양호한 양태, 재료 또는 공간 배치의 설명에 한 정되지 않는다.

Claims (11)

  1. 복수의 가스공을 가지는, 프로세스 챔버의 부품으로부터 프로세스 증착물을 세정하는 방법으로서,
    (a)상기 가스공에 있는 프로세스 증착물을 세정하도록 상기 부품의 가스공을 기계적으로 피닝하는 단계,
    (b)상기 부품을 산성 용액에 노출시키는 단계, 및
    (c) (1)상기 부품을 플라즈마 구역 내에 위치시키는 단계, (2)상기 플라즈마 구역 내로 가스를 유입하는 단계, (3)상기 플라즈마 구역에서 상기 가스의 플라즈마를 형성하는 단계, 및 (4)상기 플라즈마 구역으로부터 상기 가스를 배출 시키는 단계에 의해, 상기 부품을 플라즈마 안정화 처리하는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  2. 제 1 항에 있어서,
    상기 (b)단계 전후로 상기 (a)단계를 반복하는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  3. 제 1 항에 있어서,
    상기 부품은 전극을 덮는 세라믹을 포함하며, 그리고
    상기 (b)단계가 불화 수소산 및 질산을 포함하는 산성 용액에 상기 세라믹을 노출시키는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  4. 제 3 항에 있어서,
    상기 산성 용액은 질산 및 불화수소산을 약 3 내지 약 4의 중량 비율로 포함하는,
    프로세스 증착물을 세정하는 방법.
  5. 제 3 항에 있어서,
    상기 정전 척은 상기 세라믹 아래에 금속 베이스를 포함하며, 그리고
    상기 (b)단계가 실질적으로 상기 금속 베이스를 상기 산성 용액에 노출시키지 않고 상기 척의 세라믹을 상기 산성 용액에 노출시키는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  6. 제 1 항에 있어서,
    상기 (c)(2)단계는 상기 플라즈마 구역 내로 아르곤 또는 질소를 포함하는 무반응 가스를 유입시키는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  7. 제 1 항에 있어서,
    상기 (c)단계 전에, 상기 세라믹의 전기 저항을 시험하는 단계를 포함하는,
    프로세스 증착물을 세정하는 방법.
  8. 프로세스 챔버 부품의 복수의 가스공으로부터 프로세스 증착물을 세정하는 피닝 툴로서,
    상기 피닝 툴은 하우징과, 및 상기 하우징으로부터 돌출되는 복수의 신장핀을 포함하며,
    상기 신장핀은 상기 프로세스 챔버의 부품에 있는 가스공의 배치와 정합되게 이격되어 있는,
    프로세스 증착물을 세정하는 피닝 툴(pinning tool).
  9. 제 8 항에 있어서,
    상기 하우징에 회전 가능하게 연결되는 샤프트를 더 포함하며,
    상기 샤프트는 길이 방향 샤프트 축선을 형성하며, 상기 핀이 길이 방향 핀 축선을 형성하며, 그리고 상기 샤프트가 상기 핀에 기계적으로 결합되어 상기 샤프트 축선에 대한 상기 샤프트의 회전이 상기 핀 축선에 대한 상기 핀의 회전을 발생시키는,
    프로세스 증착물을 세정하는 피닝 툴.
  10. 제 9 항에 있어서,
    상기 핀은 환형으로 배치되는,
    프로세스 증착물을 세정하는 피닝 툴.
  11. 제 9 항에 있어서,
    상기 핀은 연마 코팅을 포함하는,
    프로세스 증착물을 세정하는 피닝 툴.
KR1020057022218A 2003-05-22 2004-04-14 프로세스 챔버의 부품 세정하는 방법 및 장치 KR101045442B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/444,284 US7045020B2 (en) 2003-05-22 2003-05-22 Cleaning a component of a process chamber
US10/444,284 2003-05-22

Publications (2)

Publication Number Publication Date
KR20060017612A true KR20060017612A (ko) 2006-02-24
KR101045442B1 KR101045442B1 (ko) 2011-06-30

Family

ID=33450616

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057022218A KR101045442B1 (ko) 2003-05-22 2004-04-14 프로세스 챔버의 부품 세정하는 방법 및 장치

Country Status (8)

Country Link
US (1) US7045020B2 (ko)
EP (1) EP1635962B1 (ko)
JP (1) JP4668915B2 (ko)
KR (1) KR101045442B1 (ko)
CN (1) CN1795058B (ko)
DE (1) DE602004021254D1 (ko)
TW (1) TWI298176B (ko)
WO (1) WO2004105972A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010021508A2 (ko) * 2008-08-21 2010-02-25 트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7648582B2 (en) * 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080145556A1 (en) * 2006-12-15 2008-06-19 Tokyo Electron Limited Method for manufacturing substrate mounting table
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102181844B (zh) 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7166950B2 (ja) * 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
JP6859496B1 (ja) 2019-08-28 2021-04-14 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
US20210265137A1 (en) * 2020-02-26 2021-08-26 Intel Corporation Reconditioning of reactive process chamber components for reduced surface oxidation
JP7482657B2 (ja) * 2020-03-17 2024-05-14 東京エレクトロン株式会社 クリーニング方法及び半導体装置の製造方法
JP7499678B2 (ja) 2020-11-02 2024-06-14 東京応化工業株式会社 半導体製造用プロセスチャンバのコンポーネントの洗浄用組成物及び洗浄方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3609920A1 (de) * 1986-03-24 1987-10-01 Erich Brosa Verfahren zur herstellung von stabilen implantationen fuer zahnersatz im knochen des kiefers
JPH0437666Y2 (ko) * 1987-07-30 1992-09-03
US4853081A (en) * 1987-10-30 1989-08-01 Ibm Corporation Process for removing contaminant
IE904358A1 (en) * 1990-12-04 1992-06-17 Grohe Kg Hans Shower unit with internal unclogging device
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5507874A (en) 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5671119A (en) 1996-03-22 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for cleaning an electrostatic chuck of a plasma etching apparatus
US5746928A (en) 1996-06-03 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Process for cleaning an electrostatic chuck of a plasma etching apparatus
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP3575349B2 (ja) * 1999-09-27 2004-10-13 日立プラント建設株式会社 アルミノシリケートガラス基板の洗浄液及び洗浄方法
US6926016B1 (en) * 2001-02-15 2005-08-09 Quantum Global Technologies, Llc System for removing contaminants from semiconductor process equipment
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP4409134B2 (ja) * 2001-10-09 2010-02-03 パナソニック株式会社 実装システム
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010021508A2 (ko) * 2008-08-21 2010-02-25 트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치
WO2010021508A3 (ko) * 2008-08-21 2010-06-17 트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치
KR100987977B1 (ko) * 2008-08-21 2010-10-18 (주)트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치

Also Published As

Publication number Publication date
US7045020B2 (en) 2006-05-16
TWI298176B (en) 2008-06-21
TW200428479A (en) 2004-12-16
US20040231706A1 (en) 2004-11-25
EP1635962A1 (en) 2006-03-22
CN1795058B (zh) 2011-09-14
WO2004105972A1 (en) 2004-12-09
JP2006528551A (ja) 2006-12-21
CN1795058A (zh) 2006-06-28
DE602004021254D1 (de) 2009-07-09
EP1635962B1 (en) 2009-05-27
KR101045442B1 (ko) 2011-06-30
JP4668915B2 (ja) 2011-04-13

Similar Documents

Publication Publication Date Title
KR101045442B1 (ko) 프로세스 챔버의 부품 세정하는 방법 및 장치
US6014979A (en) Localizing cleaning plasma for semiconductor processing
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
JP5269826B2 (ja) プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
KR100738850B1 (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
US8118946B2 (en) Cleaning process residues from substrate processing chamber components
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
US20150364349A1 (en) Dual chamber plasma etcher with ion accelerator
EP0865070A1 (en) Method and apparatus for sputter etch conditioning a ceramic body
WO2005123282A2 (en) Methods for wet cleaning quartz surfaces of components for plasma processing chambers
TW200903600A (en) Substrate cleaning chamber and cleaning and conditioning methods
WO1999025015A1 (en) Self-cleaning etch process
KR20190008227A (ko) 에칭 방법
IL176591A (en) Method of imitation of a conductive material exposed to a passive feature
KR20050069612A (ko) 식각 챔버의 세정 방법
KR20070048539A (ko) 플라즈마 세정 장치 및 이를 이용한 세정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6