JP4668915B2 - プロセスチャンバのコンポーネントの洗浄 - Google Patents

プロセスチャンバのコンポーネントの洗浄 Download PDF

Info

Publication number
JP4668915B2
JP4668915B2 JP2006532410A JP2006532410A JP4668915B2 JP 4668915 B2 JP4668915 B2 JP 4668915B2 JP 2006532410 A JP2006532410 A JP 2006532410A JP 2006532410 A JP2006532410 A JP 2006532410A JP 4668915 B2 JP4668915 B2 JP 4668915B2
Authority
JP
Japan
Prior art keywords
gas
component
ceramic
pin
shaft
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006532410A
Other languages
English (en)
Other versions
JP2006528551A (ja
Inventor
ブハトナガー,アシシュ
クンゼ,チャールズ,エス.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006528551A publication Critical patent/JP2006528551A/ja
Application granted granted Critical
Publication of JP4668915B2 publication Critical patent/JP4668915B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Description

背景
本発明の実施形態は、プロセスチャンバ内で基板の処理に用いられるコンポーネントの洗浄に関する。
電気回路やディスプレイを製造するために、半導体ウェハ又はディスプレイのような基板はチャンバに配置され、プロセスガスのプラズマで処理される。例えば、PCVDプロセスにおいては、プラズマはスパッタ材料のターゲットをスパッタして基板上にスパッタされたターゲット材料を堆積させる。CVDプロセスにおいては、堆積ガスのプラズマは、基板上に物質を堆積させるために用いられる。エッチングプロセスにおいて、プラズマは基板上の物質をエッチングするために用いられる。チャンバは、処理の間プラズマに晒される多くのコンポーネント、例えば、基板を保持する基板支持体、ライナー、ガス分配器又は排気リング、フォーカスリングを有する。
このような基板プロセスにおいて、プラズマは、定期的に洗浄されなければならないチャンバコンポーネントの表面上でプロセス堆積物を形成する。一洗浄法においては、チャンバコンポーネントはチャンバから取り出され、酸性又は塩基性の洗浄溶液に浸漬される。他の方法においては、コンポーネントはチャンバ内にあり、洗浄ガスがチャンバに導入され、プラズマがプロセス堆積物を洗浄するための洗浄ガスを形成する。しかしながら、このような洗浄法は、コンポーネントに化学的に付着した又は特に薄い層で堆積されるプロセス堆積物をしばしば残したままにする。
ガス分配器、ライナー及び静電チャックのようなコンポーネントは、ガス通路又は他の理由のためホールを有することから、洗浄することは特に困難である。例えば、ガス分配器はチャンバにプロセスガスを放出するために多くのガスホールを有する。静電チャックも、基板の下に熱伝達ガスを放出するために多くのガスホールを有する。典型的なチャックにおいては、ガスホールが隔置され、基板の下の所望の位置に熱伝達ガスを供給するためにセラミック表面の周りに配分される。ホールは、プラズマ又はグロー放電が静電チャックの使用中にホール内部に形成されることを防止するために通常は小さい。従来の洗浄プロセスは、このようなガスプラズマによって小さなホールの内部に形成されたプロセス堆積物をしばしば十分に洗浄しない。例えば、湿式洗浄プロセスに用いられる酸性又は塩基性溶液は、ホールがプロセス堆積物で満たされているか又は表面張力が溶液を小さなホールに入ることを妨げることから、ガスホールに入ることができない。乾式洗浄プロセスにおいては、プラズマもしばしば完全にはホールを満たさない。これらホールが適切に洗浄されない場合、静電チャックが基板の下に不均等なガス拡散を与え、基板は適切に冷却又は加熱されない。小さなガスホールを有するガス分配器又はライナーを洗浄する場合に、同様の問題に直面する。
他の問題は、チャックのセラミック表面のようなセラミック表面上のプロセス堆積物を洗浄することを試みるときに生じる。セラミックチャックは、熱に対して良好な耐性と腐食作用のあるガスプラズマ環境からの化学的な腐食に対して耐性を与える。しかしながら、PVDやCVD堆積物は、しばしばセラミック表面に強固に付着し、従来の洗浄法を用いて取り除くことが難しい。セラミック表面は化学的に強い洗浄溶液によって腐食され得る。セラミック材料の不安定さは、また、侵食によって表面を洗浄すること、又は表面又はセラミックに破損又は微小割れを引き起こすことなくセラミック材料のガスホールを洗浄することを難しくする。セラミック表面が損傷すると、チャンバプラズマは晒された下にある表面を侵食するように損傷領域を貫き、チャック電極とアーク及び電気的なショートを引き起こし、ついには処理中にチャックの欠陥や基板の損傷を引き起こす。
従って、コンポーネントがプラズマ処理環境で所望の表面特性を有するようにチャンバコンポーネントを効果的に洗浄することが望ましい。更に、洗浄された表面がチャンバ内にガスの均一な分配を与えることができるように、静電チャック又は他のコンポーネントにおける全てのガスホールを均一に洗浄することが望ましい。また、一貫した再現性のある洗浄結果を与える洗浄プロセスが望ましい。
本発明のこれら特徴、態様、利点は、本発明の例を示す以下の説明、添えられた特許請求の範囲、添付の図面に関して更に良く理解される。しかしながら、特徴の各々は、単に特定の図面に関連せずに、一般に、本発明に使用し得ることは理解すべきであり、本発明はこれらの特徴のあらゆる組み合わせを含んでいる。
説明
プロセスチャンバ105のコンポーネント100は、プロセスチャンバ105の使用中にコンポーネント100の表面上に蓄積するプロセス堆積物を取り除くために洗浄される。プロセスチャンバ105は、半導体ウェハ又はフラットパネルディスプレイのような基板115がプラズマによって処理されるプロセスゾーン110を画成する。プロセスチャンバ105は基板処理装置120の一部であり、その典型的な実施形態は図1に示されている。コンポーネント100は、例えば、セラミック200内に複数のガスホールを有し、その実施形態は図2Aの平面斜視図に示されている。例えば、ガスホール205はプロセスチャンバに熱伝達ガス又はプロセスガスを送る形と大きさがあってもよい。コンポーネント100を洗浄すると、プラズマ曝露中にコンポーネント100の表面210上とガスがそれらに流し込まれるときのガスホール205の内部表面に沿って蓄積するプロセス堆積物を取り除く。
一変形例においては、コンポーネント100は、基板115を処理するプロセスチャンバにプロセスガスを導入するガス分配器155を備えている。ガス分配器155は、プロセスガスをガス供給源165からプロセスチャンバ内の1つ以上のガス流出口175a、bに送る複数のガスフィードコンジット160a、bを備えている。ガスフローバルブ170はガスフィードコンジット160a、b、従って、ガス流出口175a、bを通ってプロセスガスのフローを調節する。ガス流出口175a、bはプロセスゾーン110にプロセスガスを均一に放出するように離間されて配置される。例えば、隔置され、2つから12の流出口間にある流出口175a、bは基板115(図1に示される)の周辺に配置することができる。他の例においては、ガス分配器155は、プロセスゾーン110にプロセスガスを均一に分配するために同一平面のガス流出口のパターンを通ってガス流出口プロセスゾーン110に横方向と下方向にプロセスガスを拡散する基板上の貫通シャワーヘッドプレート(図示せず)を備えている。
他の変形例においては、図2Aと図2Bに示されるように、チャンバコンポーネント100は、図2Aでは平面図として、図2Bでは断面図として示された、チャンバ105のプロセスゾーン110における受容面210a上の基板115を保持するために用いられる静電チャック100aを備えている。静電チャック100aは金属ベース215を備えている。金属ベース215は、例えば、アルミニウムを含むことができる。金属ベース215上のセラミック200は電極220を覆い、基板115を固定する受容面210aを備えている。セラミック200は、例えば、Al23又はAlNの層又はモノリスを備えることができる。セラミック200は金属ベース215上に結合、堆積又はプラズマ噴霧することができる。電極220は図2Bに示されるようなセラミックモノリスに埋め込まれたメタルメッシュを備えてもよく、電極220は金属ベース215上の層としてセラミック200を有する金属ベース215であってもよい。
静電チャック100aにおけるガスホール205は、基板の温度を調節するために熱伝達ガスを受容面210aの上方に送るように適合されている。例えば、ガスホール205は、処理中にプラズマにより加えられる熱を引き出すことによって基板115を冷却するために用いることができる。熱伝達ガスはヘリウム又は他の本質的に不活性なガスを含むことができる。ガスホール205の直径は、約110〜510ミクロン、例えば、約125〜約305ミクロンであってもよい。
一実施形態においては、ガスホール205は受容面210aからセラミック200を通って金属ベース215へ進む。供給チャネル230は金属ベース215を通って横方向に伸び、熱伝達ガスをガスホール205に配分するためにガスホール205に接続されている。供給チャネル230の直径は、約101〜762ミクロン、例えば、約127〜約356ミクロンであってもよい。
更に、静電チャック100aの金属ベース215は、金属ベース215を通って液体を循環させることにより静電チャック100aの温度を調節するように、図2Bに示されるような液体サーキュレータ235を備えることができる。液体は、静電チャック100aに又は静電チャック100aから効果的に熱を伝達するために十分に高い比熱を有する。例えば、液体は水を含むことができる。静電チャック100aを洗浄する前に、液体サーキュレータ235は静電チャック100aを永続的に汚染し得るあらゆるグリコールと水の混合体を除去するために水を用いて洗い流される。
更に他の変形例においては、コンポーネント100は静電チャック100aの近くに配置されるライナー(図示せず)を備えることができる。ライナーは静電チャックに隣接してガスを送ることが可能な1つ以上のガスホールを有する。一実施形態においては、ライナーは環状であり、静電チャック100aの周りに配置される。複数のガスホール205は、静電チャック100aの周囲にプロセスガスを導入するためにライナーに沿って環状に配置される。
チャンバコンポーネント100を洗浄する方法は、図3の例示的なフローチャートに示されている。洗浄法は、ガスホール205の所望の形とサイズ、またコンポーネント100の構造上の完全さを維持しつつ、コンポーネント100からプロセス堆積物の十分な除去を確実にするステップの順序を含んでいる。例えば、フローチャートの破線の矢印との間に示される特定のステップは任意であるが、動作中洗浄されたコンポーネント100の改善された信頼性を生じ得る。
洗浄プロセスの最初のステップにおいては、ガスホール205の内部表面からプロセス堆積物をゆるめ、除去するためにコンポーネント100のガスホール205に機械的にピンを押し込む。図4Aに例示的な実施形態が示されるメカニカルピンニングツール400は、ガスホール205に適合するサイズと形をした複数の伸長したピン410を備えている。例えば、ピン410の直径は約110ミクロン〜約510ミクロンである。ピンニングツール400が上下に移動し、ピン410がガスホール205の内部を通過してガスホール205からプロセス堆積物を取り除く。コンポーネント100が金属ベース215上のセラミック200を備えている静電チャック100aである場合、ピン410は、典型的には、セラミックであるガスホール部分に挿入されるだけでなく、金属ベース215にあるガスホール205の部分にも挿入される。
一変形例においては、ピン410は十分な洗浄効果を達成するためにガスホール205内に2つの自由度で移動する。例えば、ピン410は(i)ガスホール205を軸方向に内外に、更に(ii)ガスホール205内を回転して移動することができる。改善された洗浄プロセスに関して、ピンニングツール400のピン410は同時にこれら2つの自由度によって移動することができる。ピン410は、ガスホールの内部表面からプロセス堆積物をゆるめ、除去するために、ガスホール205の軸方向又は横方向前後に振動するように振動させることもできる。
ピン410はガスホール205と一致するとともにガスホール205から望ましくないプロセス堆積物を洗い出すような配置と大きさをしている。コンポーネント100におけるガスホール205の所定のレイアウトに関して、その例は図4Aの静電チャックに示され、ピン410は図4Bに示されるようにガスホール205と一致するように対応した鏡像構造で配置されている。ピンニングツール400がコンポーネント100に係合する場合、複数のピン410が同時にガスホール205からプロセス堆積物を洗い流す。この同時洗浄は非常に時間が効率的で信頼できる。例えば、2つのピンと適切に一致することが、残っているピン410がガスホール205に対して適切に一致することを確実にすることから、信頼性が高められる。ピン410の全てが同時に正確に一致することが、コンポーネント205又はピン410に対する損傷の可能性を低減させることができる。
ピン410はピンニングツール400から取り出すことができるように適合させることができる。例えば、ハウジング415は、ピン410が定位置に固定することができるレセプタのアレイを備えていることができる。ピン410は、コンポーネント100の表面210全体にガスホール205のレイアウトに適合する構造でレセプタに配置される。更に、前もって選択された直径のピン410は、ほぼ同様の直径のガスホール205を洗浄するためのレセプタ内に挿入することができる。このモジュラリティは、同様のピンニングツール400を異なるタイプの静電チャック100a又は異なる機能を有する更に異なるタイプのチャンバコンポーネント100に用いることを可能にし、結果として老朽化の傾向のないコスト効率の良いピンニングツール400となる。
一実施形態においては、コンポーネントは1つ以上の環形に配置されたガスホール205を備えている。例えば、静電チャック100aは、隔置されたガスホール205の底面にトレンチ240を備えることができる。ピン410は、トレンチ240のガスホール205の環状レイアウトに対応した配置でハウジング415から突き出ている。例えば、図2Aと図2Bに示される実施形態においては、静電チャック100aは静電チャック100aの周囲にある2つのトレンチ240における2つの同心環形として配置されたガスホール205を備えている。図4Aに示されるような整合ピンニングツール400は、静電チャック100aにおけるガスホール205の間隔で配置された2つの環形の対応した構造でピン410を備えている。図4Bに示されるように、ピンニングツール400が静電チャックと係合している場合、ピン410は複数のガスホール205からプロセス堆積物を同時に取り除くよう対応したガスホール205を通過する。
ピンニングツール400がガスホール205の数より少ないピンを備える場合には、ピンニングツール400は異なるガスホール205間のコンポーネント100に全体に移動する。例えば、ピンニングツール400は、ガスホール205の数より少ないピン410を結合するハウジング415を備えることができる。ピンの挿入間で、ハウジング415はガスホール205の連続グループを洗浄するために移され又は回転する。異なるガスホール205が異なるレベルのプロセス堆積物の蓄積を有する場合のように、ガスホール205を連続して洗浄することがある状況においては好ましいものである。連続洗浄は、各ガスホール205が洗い出された場合にどれだけの摩擦抵抗に直面するかに従って種々のガスホール205における相対レベルのプロセス堆積物の蓄積を明らかにすることができる。かなり異なるレベルのプロセス堆積物の蓄積の場合、本方法はピン410に対する損傷の可能性を低減させることさえもできる。説明的例として、30個のピン410を30個のガスホール205に押し込み、1個のガスホールが対応するピン410の通路を完全に止めるのに十分なプロセス堆積物の蓄積を有する場合には、30個のピンに加えられる力の全てがこの単一ピン410上に集中する。この大規模な集中の力は、ピン410の損傷を引き起こし得る。連続的に洗浄される各グループにガスホール205を分離することによって、この危険はかなり回避される。
一変形例においては、ピン410はガスホール205からプロセス堆積物をより効果的に除去するためにテクスチャ加工される。例えば、ピン410は、洗浄効率を高めるために図4Aの拡大図に示されるように、研磨コーティング420を備えることができる。例えば、ピン410研磨微粒子のコーティングを備えることができる。一実施形態においては、ピン410はダイアモンド粉のコーティング420を備え、これは例外的に耐久性があり鋭利である。ピン410がガスホール205内を移動するので、研磨微粒子がプロセス堆積物を砕き、研磨微粒子の鋭いエッジが摩砕し、プロセス堆積物で切り取られる。或いは、ピン410はガスホール205内部に突き通すために先細であってもよく、グルーブ(図示せず)を備えている。先細のグルーブの付いたピン410が回転するにつれて、ガスホール205内部のプロセス堆積物で切り取られる。テクスチャ加工されたピン410は、また、あらゆる望ましくない物質を切り取ることによってガスホール205の望ましいサイズと形を再び作成する。切り取られる物質は、残存しているプロセス堆積物、又は静電チャック100aのセラミック200のセラミック材料のようなコンポーネント100が製造された物質を含んでもよい。
ピンニングツール400は、電気モータ430と機械的に係合しているシャフト425を備えることができ、図4Bに示されるように、モータ430が動作するときにシャフト425が回転する。更に、シャフト425はピン410に機械的に係合してもよく、モータ430が縦のシャフト軸の周りにシャフト425を動作させる場合、ピン410は個々の縦軸の周りに回転し、その例示的実施形態は図5に示されている。例えば、シャフト425は、シャフト425の回転運動をピン410に伝えるためにギア(図示された)又はフレキシブルバンド(図示せず)によってピン410と機械的に係合し得る。各ピンの挿入中、シャフト425を回転させて個々の軸の周りにピン410を回転させる。電気モータ430は、ガスホール205の洗浄速度を増大するとともに特に汚れたガスホール205を効果的に洗浄することができるように回転速度を大きくすることができる。一実施形態においては、電気モータ430は約500〜約5000回転毎分のピン410の回転速度を発生させる。ピン410の内外の動作は、ヒトオペレータ又は回転運動と同時に動く付加的なモータによって与えることができる。
コンポーネント100のガスホール205を機械的にピンニングした後に、コンポーネント100は更に酸性溶液に晒されることにより洗浄される。コンポーネント100は、ディッピング、浸潰或いは酸性溶液に少なくともコンポーネント100の表面210を晒すことによって洗浄することができる。ガスホール205の内部の一部は、酸性溶液がガスホール205を浸透する十分な深さでコンポーネント100を酸性溶液に浸すように、ガスホール205内に酸性溶液を通すことにより洗浄されることもできる。前述のメカニカルピンニングはプロセス堆積物を摩滅させ、プロセス堆積物の小さく摩砕した断片がガスホール205内に残る。酸性溶液はプロセス堆積物の微粒子を洗い流し、更に完全にメカニカルピンニング中に取り除かれなかった特にコンポーネント100の表面上の残留プロセス堆積物を侵食又は軟化させる。
例えば、静電チャック100aを洗浄するために、静電チャック100aのセラミックが酸性溶液に晒される。セラミック200の受容面210aは、受容面210aとセラミック200内のガスホール205の部分からプロセス堆積物を少なくとも部分的に除去することができる酸性溶液に浸漬される。酸性溶液は、セラミック200の受容面210aが酸性溶液に晒されている間、実質的に損傷がないセラミック200に対して十分に不活性である。金属ベース215を酸性溶液に晒すことは金属ベース215を腐食的に損傷させ得ることから、酸性溶液は、実質的に金属ベース215を酸性溶液に晒すことなくセラミック200に加えることができる。
コンポーネント100が酸性溶液に晒される条件は、コンポーネント100を損傷させずにコンポーネント100の表面210からプロセス堆積物の本質的な量を除去するよう設定される。例えば、酸性溶液は約70℃〜約90℃の温度、例えば、約80℃で維持することができる。コンポーネント100は、約5分〜約45分間、例えば、約10分〜約30分間酸性溶液に晒すことができる。
酸性溶液は、プロセス堆積物と反応させて酸性溶液に溶解しやすい化学種を形成することによりコンポーネント100の表面210からプロセス堆積物を除去することができる溶解した酸性化学種を含んでいる。酸性溶液の組成は、コンポーネント表面210の組成とプロセス堆積物の組成に従って選択される。一変形例においては、酸性溶液はフッ化水素酸(HF)を含んでいる。フッ化水素酸は、酸化アルミニウムセラミック構造に生じ得る、SiO2、CaO又はMgOのようなコンポーネント表面210上に蓄積することができる不純物と反応し溶解することができる。酸性溶液は、硝酸(HNO3)のような非フッ化酸を更に又は代わりに含むことができる。非フッ化物質は攻撃的でない化学種を与えることができ、それは侵食亀裂の形成が低減されたコンポーネント表面210の洗浄と調製を可能にする。他の適した酸性化学種は、例えばHCl、H3PO4、H2SO4を含むことができる。
一例示的な実施形態においては、酸性溶液は、フッ化水素酸(HF)、硝酸(HNO3)、脱イオン水の水溶液を含んでいる。HNO3とHFとの水溶液中の質量での比率は、約3〜約4、例えば、約3.5とすることができる。例えば、水溶液は、濃度49%強度(質量/質量)のHF中約4パーセントのフッ化水素酸、濃度70%強度(質量/質量)のHNO3中約10パーセントの硝酸、脱イオン水を含むことができる。
コンポーネント100を酸性溶液に晒した後、ガスホール205に残存するプロセス堆積物を除去するためにコンポーネント100に機械的にピンを再び押し込んでもよい。この二次的なメカニカルピンニングステップは、ガスホール205の望ましいアパーチャ形状を確実にすることを援助する。例えば、金属ベース215が酸性溶液に晒されない場合には、セラミック200におけるガスホール205の部分から洗い流される種類のプロセス堆積物は金属ベースにおけるガスホール205の部分に残ってしまう。二次的なメカニカルピンニングステップは、十分なスペースが金属ベース215のガスホール205の部分を通って洗浄されることを確実にする。
メカニカル洗浄と酸性洗浄後、静電チャック100aは静電チャック100aの電気特性が十分であることを確実にするために電気的に試験することができる。例えば、静電チャック100aは、十分なチャック電圧が十分に大きく且つ十分に均一な力で基板115を保持するために電極220から生成することができることを確実にするために試験することができる。試験を行うために、電気的な導線(図示せず)が静電チャック100aの2つ以上のポイントに接続され、ポイント間の電気抵抗を測定するために導線間に電位が印加される。ポイント間の距離に基づき、静電チャック100aのセラミックの電気抵抗を求めることができる。更に、電極220の漏れ電流は、例えば、電極電圧源135と電極220間の電流路において電流計(図示せず)を挿入することにより、求めることができる。
更にコンポーネント100は活性化されたガスに晒すことによりプロセスチャンバ105内で安定させる。一変形例においては、活性化されたガスは非反応性ガスである。第一に、コンポーネント100はプロセスチャンバ105に挿入される。本質的にコンポーネント材料と不活性である不活性ガスがプラズマゾーン110に導入される。例えば、非反応性ガスは窒素を含むことができる。窒素は本質的に不活性ガスであり、比較的低価格である傾向がある。或いは、非反応性ガスはアルゴンを含むことができる。アルゴンは比較的高密度を有し、稀ガスであることから特に不活性である。プラズマは、プラズマゾーン110において非反応性ガスから形成される。コンポーネント100の安定化が完了した後、非反応性ガスがチャンバ105から排気される。
コンポーネント100を非反応性プラズマに晒すと、コンポーネント100は“アウトガス”と呼ばれる気化汚染物質をパージさせる。例えば、静電チャック100aのセラミック200が部分的に多孔質セラミックを含む場合には、化学物質を細孔に捕捉することができる。セラミックがプラズマに晒される場合、化学物質は細孔から気化し放出する。コンポーネント100が予備的ステップでガス抜きされなかった場合には、コンポーネント100はチャンバ105内での基板115の処理間にガス抜きし、望ましくないことにプロセスゾーン110における圧力を増加させ、基板115を汚染する。
ガス抜きに加えて、コンポーネント100は温度、圧力、電磁界のようなプラズマにおける物理的条件に慣らされる。コンポーネント100が基板115の実際の処理中に用いられる反応性ガスと予期せずに反応することはないことから、このことは実際の基板プロセス中での安定性を増加させる。例えば、安定化ステップは、コンポーネント100の反応性ガスによる腐食に対する耐性を改善する。このことは性能を改善し、チャンバコンポーネント100の動作寿命を増加させる。
図1に概略的に示される基板処理装置120に戻ると、プロセスチャンバ105は側壁125、底面壁(図示せず)、基板115に面したシーリング130を備えている。シーリング130はアノードとして作用することができ、接地されるか(図示されている)、又は電源によって電気的にバイアスがかけられる(図示せず)。チャンバ105は、金属、半導体、セラミック、ガラス、ポリマー及び複合材料を含む種々の材料のいずれかから製造することができる。例えば、チャンバ105を製造するために一般に用いられる金属には、アルミニウム、陽極酸化されたアルミニウム、“HAYES242”、“Al−6061”、“SS304”、“SS316”及びINCONELが含まれる。シーリング130は、平面、矩形、弓形、円錐形、ドーム又は複数の半径を有する弓形を含むことができる。図1に示される装置120の特定の実施形態は基板115上の電子デバイス製造に適し、本発明を具体的に説明するためにだけに示されている。この特定の実施形態は本発明の範囲を制限するために用いるべきではない。
プロセスチャンバ105の静電チャック100aは基板115を静電気的に保持するとともに基板115の温度を調節するように適合されている。静電チャック100aはプロセスゾーン110で基板115静電気的に保持するために電極電圧源135に接続される。電極電圧源135は、プラズマのイオンエネルギーに影響するように電極220に交流電圧を印加するAC電圧源140を備えている。DC電圧源145は、基板115上に下方の静電力を作るために電極220にバイアスをかける。熱ディスプレーサ150は、供給チャネル230にガスホール205を通って熱伝達ガスを送り、静電チャック100a上の基板115の裏面と接触させる。例えば、熱ディスプレーサ150は、熱伝達ガスを供給チャネル230を通って再循環するポンプ(図示せず)と再循環熱伝達ガスから熱を導く熱シンク(図示せず)を備えることができる。
プロセスチャンバ105は、基板115上に物質を堆積させる、例えば、ポリシリコン、窒化シリコン、酸化シリコン又は金属シリサイドのようなシリコン含有物質を堆積させる、アルミニウム又は銅のような金属含有物質を堆積させる、又はあらゆる他の物質を基板115上に堆積させる化学気相堆積(CVD)チャンバとすることができる。例えば、SiO2又はSi34の絶縁体又は誘電物質は、SiH4、SiCl2、CO2、H2、N2O、Si(OC25)4、NH3及びN2の1種以上を含むプロセスガスを用いて化学気相堆積(CVD)により形成することができる。或いは、金属元素、金属化合物又は合金を含むことができる金属含有物質も基板115上に形成することもできる。例えば、タングステン含有物質は、WF6、H2及びSiH4の1種以上を含むプロセスガスを用いて堆積させることができる。モリブデン含有物質は、例えば、MoCl5とH2を含むプロセスガスを用いて形成することができる。また、アルミニウム含有物質は、例えば、Ar又はH2又はその双方を混合したAlCl3又はAl(CH3)3を用いて形成することができる。
ガス活性器180は、チャンバ105に導入されるプロセスガスを活性化してプラズマを形成させる。ガス活性器180はプロセスガスにRF(高周波)電力のような電磁電力と接続する。適したガス活性器180は、チャンバ105のシーリング130上に1つ以上のインダクタコイル188を有するインダクタアンテナ184を備えている。一変形例においては、コイル188は、プロセスガスに良好な誘導性フラックスを与えるためにチャンバ105の中心軸の周りに円形対称である。この変形例においては、シーリング105は、シリコン又は二酸化シリコンのような電磁エネルギーを透過できる誘電材料を備えることができる。アンテナ電源192は、プロセスガスに対する電力の誘導結合を最適化するために印加電力を調整する整合ネットワークによってアンテナにRF電力のようなAC電力を印加する。
チャンバ105におけるプロセスガスは、排気コンジット136、排気ライン139、スロットルバルブ142、ラフィングポンプ及びターボ分子ポンプを含むことができる、ポンプ146を含む排気システム133によって排気される。ポンプ146は排気ガスを洗浄するためのスクラバシステムを更に含むことができる。排気コンジット136はチャンバ105内に生じた排気ガスを受容し且つ典型的には基板105の周囲に配置されるポート又はチャンネルである。排気ライン139は排気コンジット136とポンプ146を接続し、排気ライン139におけるスロットルバルブ142はチャンバ105内のプロセスガスの圧力を制御するために用いることができる。
チャンバ105における基板処理は、コントローラ154を用いて実施することができる。コントローラ154は、メモリと周辺制御コンポーネントと相互接続する中央処理装置(CPU)を備えている。CPUは、例えば、カリフォルニア州サンディエゴのSynergy Microsystem社から市販されている68040マイクロプロセッサを備えることができる。コントローラ154はコンピュータプログラムプロダクトを備え、それはコントローラ154のメモリのようなコンピュータ読み取り可能なミディアム上に具体化されるプログラムコードを備えている。プログラムコードは、例えば、アセンブリ言語又はC++のような従来のコンピュータ読み取り可能なあらゆるプログラミング言語で書き込まれ得る。適したプログラムコードは、従来のテキストエディタを用いて単一ファイル、又は複数のファイル内に入力され、コンピュータ読み取り可能なミディアムに保存又は具体化される。入力されたコードテキストが高レベル言語である場合には、コードはコンパイルされ、得られたコンパイラコードが次にプレコンパイルされたウィンドウライブラリルーチンのオブジェクトコードでリンクされる。リンクされたコンパイルオブジェクトコードを実行するために、オペレータはプログラムコードを呼び出し、コントローラ154にコンピュータ読み取り可能なミディアムへオブジェクトコードをロードさせる。CPUが読み込み、プログラムコードを実行してその中で同定されたタスクを行う。
チャンバコンポーネント100を安定させる一説明的実施形態においては、コンポーネント100はチャンバ105に取り付けられる。ブランクシリコンウェハが、“ダミー”基板として静電チャック100a上に配置される。ガス分配器180はプロセスゾーン110にN2とArの混合物を含む非反応性ガスを導入し、スロットルバルブ142がプロセスゾーン110内の圧力を蓄積するために閉鎖された位置において維持される。プロセスゾーン110の圧力が約100mTorrに達した場合、アンテナ電源192は約200〜約1000ワットのRF電力をインダクタアンテナ184に印加して安定化に適した予め選択されたプラズマ密度で非反応性ガスからのプラズマを当てる。スロットルバルブ142はプロセスゾーン110を通って非反応性ガスのフローを可能にするよう開放される。その後、電極電圧源135がRFバイアスを約100〜約300ワット、例えば、約200ワットの電力で電極220に印加して安定化に適したプラズマに予め選択されたイオンエネルギーを達成する。プラズマは、安定化プロセスが終了する約5〜約20分間維持される。
上記方法に従って洗浄されるコンポーネント100は、更に十分に洗浄され、洗浄の間に少ない損傷を受け、従来の洗浄プロセスによって洗浄されるコンポーネントと比較したときにより長い動作寿命を有する。例えば、静電チャック100aの寿命は少なくとも2倍だけ延長することができる。
本発明の例示的実施形態が図示され記載されているが、当業者は本発明を組み込み、且つ本発明の範囲内でもある他の実施形態を構成することができる。例えば、洗浄されるチャンバコンポーネント100は、特に言及された以外のコンポーネント100であってもよい。また、静電チャック100aのセラミック200と金属ベース215は、当業者に明らかであるように、特に言及された以外の物質を含むことができる。更に、下に、上に、底、最上部、上へ、下へ、第一、第二の用語や、他の関連する用語又は位置の用語は、図面における例示的な実施形態に関連して示され、対象物が回転又は空間内で移動され得る限りで同じ意味である。それ故、添えられた特許請求の範囲は、本発明を示すために本明細書に記載された好ましい変形例、物質、又は空間的な配置の説明に限定すべきではない。
図1は、プロセスチャンバを備えている基板処理装置の概略断面図である。 図2Aは、静電チャックの平面図である。 図2Bは、図2Aの静電チャックの断面図である。 図3は、本発明に従った洗浄プロセスの実施形態を示したフローチャートである。 図4Aは、図2A、図2Bの静電チャックと静電チャックのガスホールを洗浄するように配置されたピンを有するメカニカルピンニングツールを示す図である。 図4Bは、静電チャックを洗浄する静電チャックのガスホールに係合したメカニカルピンニングツールのピンを示す図である。 図5はピンに機械的に係合したシャフトを有するメカニカルピンニングツールの横断底面図である。
符号の説明
100…コンポーネント、105…プロセスチャンバ、110…プロセスゾーン、115…基板、120…基板処理装置、155…ガス分配器、160…ガスフィードコンジット、175…ガス流出口、200…セラミック、205…ガスホール、210…受容面、215…金属ベース、220…電極、230…供給チャネル、235…液体サーキュレータ、400…メカニカルピンニングツール、410…ピン

Claims (12)

  1. 基板処理装置のプロセスチャンバのコンポーネントからプロセス堆積物を洗浄する方法であって、該コンポーネントが複数のガスホールを有し、該方法が、
    (a)該コンポーネント内の該複数のガスホールのレイアウトと一致するように隔置されている複数の伸長ピンを該コンポーネントの該ガスホールに機械的に押し込み内部を通過させることにより、該複数のガスホール内のプロセス堆積物を同時に取り除き、該ガスホール内の該プロセス堆積物を洗浄する、ステップと、
    (b)該コンポーネントを酸性溶液に晒すステップと、
    (c)(1)該コンポーネントをプラズマゾーンに配置する工程、(2)ガスを該プラズマゾーンに導入する工程、(3)該プラズマゾーン内に該ガスのプラズマを形成する工程、(4)該ガスを該プラズマゾーンから排気する工程、によって該コンポーネントをプラズマ安定化するステップと、
    を含む、前記方法。
  2. 上記(b)ステップの前後に上記(a)ステップを繰り返すステップを含む、請求項1記載の方法。
  3. 該コンポーネントが電極を覆っているセラミックを備え、上記(b)ステップが該セラミックをフッ化水素酸と硝酸を含む酸性溶液に晒す工程を含んでいる、請求項1記載の方法。
  4. 該酸性溶液が、硝酸とフッ化水素酸を質量で約3〜約4の比率で含んでいる、請求項3記載の方法。
  5. 該セラミックの下に金属ベースを含む静電チャックを該コンポーネントが含み、上記(b)ステップが本質的に該金属ベースを該酸性溶液に晒さずに該静電チャックの該セラミックを該酸性溶液に晒す工程を含んでいる、請求項3記載の方法。
  6. 上記(c)(2)工程がアルゴン又は窒素を含む非反応性ガスを該プラズマゾーンに導入することを含んでいる、請求項1記載の方法。
  7. 上記(c)ステップの前に、該セラミックの電気抵抗を試験することを含んでいる、請求項1記載の方法。
  8. 基板処理装置のプロセスチャンバのコンポーネントの複数のガスホールからプロセス堆積物を洗浄するピンニングツールであって、該ピンニングツールが、
    (a)ハウジングと、
    (b)該ハウジングから突き出ている複数の伸長ピンであって、該複数のガスホール内のプロセス堆積物を同時に取り除く為に該伸長ピンが該プロセスチャンバの該コンポーネント内の該ガスホールのレイアウトと一致するように隔置されている、前記伸長ピンと、
    を備えている、前記ピンニングツール。
  9. 該ハウジングに対して回転可能に接続されているシャフトであって、該シャフトが縦のシャフト軸を画成し、該ピンが縦のピン軸を画成し、該シャフトが該ピンに機械的に係合して該シャフト軸の周りに該シャフトを回転させることにより該ピン軸の周りに該ピンを回転させる、前記シャフトを更に含む、請求項8記載のピンニングツール。
  10. 該ピンが環形で配置されている、請求項9記載のピンニングツール。
  11. 該ピンが研磨コーティングを備えている、請求項9記載のピンニングツール。
  12. 該シャフトは、該ハウジングに対して該シャフトを回転可能なモータを介して、該ハウジングに接続されている、請求項9記載のピンニングツール。
JP2006532410A 2003-05-22 2004-04-14 プロセスチャンバのコンポーネントの洗浄 Expired - Fee Related JP4668915B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/444,284 US7045020B2 (en) 2003-05-22 2003-05-22 Cleaning a component of a process chamber
PCT/US2004/011541 WO2004105972A1 (en) 2003-05-22 2004-04-14 Cleaning a component of a process chamber

Publications (2)

Publication Number Publication Date
JP2006528551A JP2006528551A (ja) 2006-12-21
JP4668915B2 true JP4668915B2 (ja) 2011-04-13

Family

ID=33450616

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532410A Expired - Fee Related JP4668915B2 (ja) 2003-05-22 2004-04-14 プロセスチャンバのコンポーネントの洗浄

Country Status (8)

Country Link
US (1) US7045020B2 (ja)
EP (1) EP1635962B1 (ja)
JP (1) JP4668915B2 (ja)
KR (1) KR101045442B1 (ja)
CN (1) CN1795058B (ja)
DE (1) DE602004021254D1 (ja)
TW (1) TWI298176B (ja)
WO (1) WO2004105972A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021039838A1 (ja) 2019-08-28 2021-03-04 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7052553B1 (en) * 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7648582B2 (en) * 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080145556A1 (en) * 2006-12-15 2008-06-19 Tokyo Electron Limited Method for manufacturing substrate mounting table
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
KR100987977B1 (ko) * 2008-08-21 2010-10-18 (주)트리플코어스코리아 반도체 장비 부품 세정 방법 및 이를 이용한 반도체 장비 부품 세정 장치
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102373445B (zh) * 2010-08-25 2014-01-08 无锡华润上华半导体有限公司 化学气相淀积反应腔中漏率的监控方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102181844B (zh) 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7166950B2 (ja) 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
US20210265137A1 (en) * 2020-02-26 2021-08-26 Intel Corporation Reconditioning of reactive process chamber components for reduced surface oxidation
JP2021147635A (ja) * 2020-03-17 2021-09-27 東京エレクトロン株式会社 クリーニング方法及び半導体装置の製造方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6421787U (ja) * 1987-07-30 1989-02-03
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP2001098298A (ja) * 1999-09-27 2001-04-10 Hitachi Plant Eng & Constr Co Ltd アルミノシリケートガラス基板又はセラミックガラス基板の洗浄液及び洗浄方法
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3609920A1 (de) * 1986-03-24 1987-10-01 Erich Brosa Verfahren zur herstellung von stabilen implantationen fuer zahnersatz im knochen des kiefers
US4853081A (en) * 1987-10-30 1989-08-01 Ibm Corporation Process for removing contaminant
IE904358A1 (en) * 1990-12-04 1992-06-17 Grohe Kg Hans Shower unit with internal unclogging device
US5539609A (en) * 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5671119A (en) * 1996-03-22 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for cleaning an electrostatic chuck of a plasma etching apparatus
US5746928A (en) * 1996-06-03 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Process for cleaning an electrostatic chuck of a plasma etching apparatus
TW452606B (en) * 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
US6926016B1 (en) * 2001-02-15 2005-08-09 Quantum Global Technologies, Llc System for removing contaminants from semiconductor process equipment
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP4409134B2 (ja) * 2001-10-09 2010-02-03 パナソニック株式会社 実装システム
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6421787U (ja) * 1987-07-30 1989-02-03
JP2000198042A (ja) * 1999-01-04 2000-07-18 Sankyo Seiki Mfg Co Ltd 穴の加工装置及び加工方法
JP2001058167A (ja) * 1999-06-14 2001-03-06 Nippon Borubokkusu Kk 配管内の清掃方法及び治具
JP2001098298A (ja) * 1999-09-27 2001-04-10 Hitachi Plant Eng & Constr Co Ltd アルミノシリケートガラス基板又はセラミックガラス基板の洗浄液及び洗浄方法
JP2002153832A (ja) * 2000-11-21 2002-05-28 Sanyo Electric Co Ltd プラズマ洗浄装置
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021039838A1 (ja) 2019-08-28 2021-03-04 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
US11753714B2 (en) 2019-08-28 2023-09-12 Shinryo Corporation Washing method of semiconductor manufacturing device component having gas holes
KR102495074B1 (ko) 2022-08-05 2023-02-06 디오셈 주식회사 기판 처리 장치에서 인-라인 청소가 가능한 홀 클리너 및 이를 적용한 홀 청소 방법

Also Published As

Publication number Publication date
WO2004105972A1 (en) 2004-12-09
US20040231706A1 (en) 2004-11-25
CN1795058A (zh) 2006-06-28
KR20060017612A (ko) 2006-02-24
US7045020B2 (en) 2006-05-16
TWI298176B (en) 2008-06-21
TW200428479A (en) 2004-12-16
EP1635962A1 (en) 2006-03-22
CN1795058B (zh) 2011-09-14
EP1635962B1 (en) 2009-05-27
KR101045442B1 (ko) 2011-06-30
DE602004021254D1 (de) 2009-07-09
JP2006528551A (ja) 2006-12-21

Similar Documents

Publication Publication Date Title
JP4668915B2 (ja) プロセスチャンバのコンポーネントの洗浄
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
US6770565B2 (en) System for planarizing metal conductive layers
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US6014979A (en) Localizing cleaning plasma for semiconductor processing
US9659791B2 (en) Metal removal with reduced surface roughness
KR100661194B1 (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
CN110634726B (zh) 利用低温晶片温度的离子束蚀刻
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
CN111279453A (zh) 用于在外延沉积之前进行表面制备的方法和设备
CN101448580B (zh) 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
KR20070114828A (ko) 유전체 필름을 세정하기 위한 장치 및 방법
JP2008526024A (ja) プラズマ処理装置用のシリコン電極及び炭化珪素電極の表面から黒色シリコン及び黒色炭化珪素を除去する方法
TW200822221A (en) Method of manufacturing semiconductor device
KR20190008227A (ko) 에칭 방법
WO2010008102A1 (en) Cleaning method of apparatus for depositing carbon containing film
US5868853A (en) Integrated film etching/chamber cleaning process
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
JP2001217225A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101105

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101118

TRDD Decision of grant or rejection written
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4668915

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees