KR20070114828A - 유전체 필름을 세정하기 위한 장치 및 방법 - Google Patents

유전체 필름을 세정하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR20070114828A
KR20070114828A KR1020077024203A KR20077024203A KR20070114828A KR 20070114828 A KR20070114828 A KR 20070114828A KR 1020077024203 A KR1020077024203 A KR 1020077024203A KR 20077024203 A KR20077024203 A KR 20077024203A KR 20070114828 A KR20070114828 A KR 20070114828A
Authority
KR
South Korea
Prior art keywords
cleaning
dielectric layer
remote plasma
chamber
plasma source
Prior art date
Application number
KR1020077024203A
Other languages
English (en)
Other versions
KR100915585B1 (ko
Inventor
진유 푸
존 포스터
웨이 더블유. 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070114828A publication Critical patent/KR20070114828A/ko
Application granted granted Critical
Publication of KR100915585B1 publication Critical patent/KR100915585B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Abstract

유전체 필름을 세정하는 장치 및 방법이 본 발명에 제공된다. 일 실시예에서, 유전체 필름을 세정하는 장치는 내부에 기판을 지지하는 챔버 바디, 복수의 반응성 라디칼을 챔버 바디에 제공하는 원격 플라즈마 공급원, 원격 플라즈마 공급원을 챔버 바디에 연결하는 통로, 통로에 인접하여 배열된 하나 이상의 자석을 포함한다. 다른 실시예에서, 유전체 필름을 세정하는 방법은 공정 챔버 내에 배열된 적어도 부분적으로 노출되는 유전체 층을 가지는 기판을 제공하는 단계, 원격 플라즈마 공급원 내에 복수의 반응성 라디칼을 발생시키는 단계, 반응성 라디칼을 통로에 인접하여 배열된 하나 이상의 자석을 가지는 통로를 통해 원격 플라즈마 공급원으로부터 공정 챔버 내측으로 유동시키는 단계, 및 통로를 통과하는 반응성 라디칼을 자기적으로 필터링하는 단계를 포함한다.

Description

유전체 필름을 세정하기 위한 장치 및 방법 {AN APPARATUS AND A METHOD FOR CLEANING A DIELECTRIC FILM}
본 발명은 일반적으로, 기판의 표면을 세정하기 위한 장치 및 방법에 관한 것이다. 보다 특히, 본 발명은 유전체 필름의 표면을 세정하기 위한 장치 및 방법을 제공한다.
집적 회로의 인터커넥트 구조물 및 반도체 소자는 통상적으로, 유전체 재료에 의해 분리되는 전도성 층의 3차원 네트워크를 생성시키기 위해서 일련의 유전체 층 및 전도성 층을 형성함으로써 제조된다. 인터커넥트 구조물은 예를 들어, 다마시 구조물을 이용하여 제조될 수 있으며, 상기 다마신 구조물 내에서 저 유전체 상수 (k) 층과 같은 유전체 층이 하나 이상의 전도성 플러그 또는 서브-층(sub-layers)의 최상부에 형성된다. 전도성 서브-층에 전기적 연결을 형성하기 위해서, 유전체가 패턴화되고 에칭되어 비아 개구 관통부를 형성한다. 유전체 층 내의 개구의 형성은 전도성 라인의 일부분을 노출시킨다. 따라서, 인터커넥트 피쳐의 확실한 형성은 개별적 기판상에 형성되고 각각의 다이 내에 형성되는 소자의 품질, 성능 및 신뢰도를 보장하는 중요한 요인이다.
집적 회로 및 반도체 소자에 대한 시장은 보다 빠른 회로소자 및 보다 큰 회로 밀도, 예를 들어 단일 칩 상에 수만 개의 부품을 포함하는 회로 밀도를 계속적으로 요구한다. 결과적으로, 집적 회로 부품의 치수는 축소되며, 이러한 부품을 제조하는데 이용되는 재료의 선택은 점점 중요하다. 예를 들어, 구리 및 알루미늄과 같은 낮은 저항성 금속 인터커넥트는 집적 회로 상의 부품들 사이에 전도성 통로를 제공하며, 현재 예를 들어 4 이하의 유전체 상수를 가지는 저 유전체 상수 층을 금속 인터커넥트들 사이에 필요로 하며, 이는 인접한 금속 라이들 사이의 용량성 커플링을 감소시키는 절연 금속간 층을 제공하기 위해서이며, 그에 따라 동일한 라인 폭에서 확실한 성능을 가능케 한다.
유전체 층처럼 통상적으로 이용되는 저 유전체 상수(k) 재료는 언도핑된 실리콘 유리(USG), 플루오르-도핑된 실리콘 유리(FSG), 탄소 도핑된 실리콘 이산화물 및 폴리테트라플루오로에틸렌 등을 포함하며, 이들은 기판상에 필름으로서 도핑된다. 에칭 형성 유전체 층상에 전도성 층을 형성하기 전에 에칭 및/또는 에싱 공정으로부터 자연 산화물 및/또는 유기 재료와 같은 잔여 오염물을 제거하기 위해서 유전체 필름의 최상부 표면을 세정하는 것이 바람직하다. 오염물 제거는 접촉 저항을 감소시키며 그리고/또는 증착될 전도성 층의 인터페이스에서 부착 손실을 방지한다.
예비세정 절차는 전도성 층의 증착 이전에 유전체 필름 표면으로부터 오염물을 제거하는데 이용될 수 있다. 그러나, 유전체 층을 예비세정하기 위해 이용되는 통상의 인-시츄 플라즈마는 유전체 필름 표면을 손상시키거나 재스퍼터링(resputter)시킬 수 있으며, 후속 전도성 층 증착 이전에 공정 챔버 내에 원하지 않는 대전 입자를 발생시킬 수 있다. 그 자체로, 인-시츄 플라즈마 기술을 이용함으로써 세정되는 저 유전체 상수(k) 필름은 필름 분해 및 결점을 야기할 수 있다. 부가적으로, 탄소 도핑된 저유전체 상수(k) 재료는 탄소 소모 또는 "k-손실(k loss)"을 겪는 경향이 있으며, 여기서 저 유전체 상수(k) 재료의 유전체 상수는 세정 절차에 이용되는 플라즈마에 노출된 후에 증가한다. 결과적으로, 원하지 않는 누화(cross-talk) 및 신호 지연(RC-delay)은 세정 절차 후에 더 문제가 된다.
따라서, 개선된 저 유전체 상수(k) 세정 공정이 기술 분야에 필요하다.
유전체 필름을 세정하기 위한 장치 및 방법이 제공된다. 일 실시예에서, 장치는 내부에 기판을 지지시키는 챔버 바디, 챔버 바디에 복수의 반응성 라디칼(reactive radicals)을 제공하는 원격 플라즈마 공급원, 챔버 바디에 원격 플라즈마 공급원을 연결하는 통로, 및 통로에 인접하여 배열된 하나 이상의 자석을 포함한다.
다른 실시예에서, 유전체 필름을 세정하는 방법은 공정 챔버 내에 배열된 적어도 부분적으로 노출된 유전체 층을 가지는 기판을 제공하는 단계, 원격 플라즈마 공급원 내에 반응성 라디칼을 발생시키는 단계, 반응성 라디칼을, 통로에 인접하여 배열된 하나 이상의 자석을 가지는 통로를 통해 원격 플라즈마 공급원으로부터 공정 챔버 내측으로 유동시키는 단계, 및 통로를 통해 통과하는 반응성 라디칼을 자기적으로 필터링하는 단계를 포함한다.
또 다른 실시예에서, 유전체 필름을 세정하는 방법은 제 1 공정 챔버 내에 배열된 적어도 부분적으로 노출되는 유전체 층을 가지는 기판을 제공하는 단계, 원격 플라즈마 공급원 내에 복수의 반응성 라디칼을 발생시키는 단계, 반응성 라디칼을 통로에 인접하여 배열된 하나 이상의 자석을 가지는 통로를 통해 원격 플라즈마 공급원으로부터 공정 챔버 내측으로 유동시키는 단계, 통로를 통과하는 반응성 라디칼로부터 대전된 입자를 자기적으로 필터링하는 단계, 원격 플라즈마 공급원으로부터 통과하는 대전된 입자를 제거하는 단계, 필터링된 라디칼을 이용하여 노출된 부분의 유전체 층을 세정하는 단계, 진공상태에서 세정된 기판을 제 2 처리 챔버에 전달하는 단계, 및 제 2 챔버 내의 세정된 기판상에 라이너 층을 증착시키는 단계를 포함한다.
본 발명의 개념은 첨부 도면과 관련하여 다음의 상세한 설명을 고려함으로써 용이하게 이해될 수 있다.
도 1은 본 발명에 따른 반응성 예비세정 챔버의 일 실시예의 개략적인 단면도이며;
도 2A는 본 발명에 따른 두 개의 대향 자석(opposed magnets)의 일 실시예의 개략적인 단면도이며;
도 2B는 도 2A의 두 개의 대향 자석들 사이에 존재하는 자기장의 개략적인 평면도이며;
도 3은 본 발명에 따른 자석에 의해 확대되는 대전된 입자의 유동 경로의 일 실시예의 평면도이며;
도 4는 본 명세서에 기재된 본 발명의 실시예를 실행하는데 이용될 수 있는 반응성 예비세정 챔버의 다른 실시예를 도시하는 도면이며;
도 5는 본 명세서에 기재된 공정을 수행시킬 수 있는 다중-챔버 처리 시스템의 일 실시예의 개략적인 평면 다이어그램이다.
본 발명의 전술된 특징들을 보다 잘 이해하기 위해서, 도면에서 공통인 동일한 부재를 지칭하기 위해서 가능한 도면에 동일한 도면부호가 이용된다. 일 실시예의 부재 및 특징이 추가의 언급 없이 다른 실시예에 유리하게 통합될 수 있다.
그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
본 발명의 실시예는 일반적으로 원격 플라즈마 공급원을 이용하는 유전체 층을 세정하기 위한 장치를 제공한다. 장치는 유전체 층의 세정 중에 원격 플라즈마 공급원으로부터 통과하는 대전된 입자의 수를 감소시킴으로써 유전체 필름의 질을 유지한다.
본 발명은 유전체 층을 세정하기 위한 방법을 부가적으로 제공한다. 사후 에칭되는 유전체 층의 세정은 오염물을 제거하며, 후속적 전도성 층 증착의 부착을 개선시키며 궁극적으로, 형성된 소자의 보전성 및 신뢰성을 개선한다.
일 실시예에서, 유전체 층은 저 유전체 상수(k) 재료이다. 저 유전체 상수(k) 층은 약 2.0 내지 약 4.0, 약 2.0 내지 약 3.0과 같은 약 4.0 미만의 k 값 또는 약 2.7 미만의 k값을 가지는 유전체 재료를 포함한다. 적합한 저 유전체 상수(k) 층의 실시예는 캘리포니아 산타 클라라(Santa Clara)에 소재하는 어플라이드 머티어리얼즈 인코포레이티드(Applied Materials, Inc.)에 의해 제조된 저 유전체 상수 k 실리콘 옥시카바이드, 등록상표 Black Diamond™라는 명칭으로 입수가능하다. 또 다른 실시예에서, 적합한 저 유전체 상수(k) 층은 산소, 탄소, 및 수소 중 하나 이상과 결합하는 실리콘을 포함할 수 있다.
유전체 층의 에칭 후에, 기판 표면은 손상된 실리콘 필름 또는 금속 잔여물을 가질 수 있다. 잔여 포토레지스트는 포토레지스트 스트리핑 또는 에싱 공정으로부터 기판 표면 상에 존재할 수도 있다. 잔여 폴리머는 유전체 층을 에칭하는 것으로부터 존재할 수 있다. 이러한 손상을 완화 및/또는 제거하기 위해서 원격 플라즈마 예비세정 공정이 전도성 층 증착 전에 수행된다.
본 발명의 세정 공정은 필터링된 플라즈마 공급원을 가지는 공정 챔버 내에서 실행된다. 본 발명으로부터 이익이 될 수 있는 원격 플라즈마 공급원을 가지는 공정 챔버는 어플라이드 머티어리얼즈 인코포레이티드로부터 입수가능하다.
도 1은 필터링된 원격 플라즈마 공급원(50) 및 챔버 바디(10)를 가지는 원격 플라즈마 공급원(RPS) 처리 챔버(100)의 일 실시예의 개략적인 단면도이다. 처리 챔버(100)은 챔버 어댑터(16), 어댑터(18) 및 리드(40)를 포함하는 챔버 바디(10)를 가진다. 챔버 어댑터(16) 및 리드(40)는 알루미늄, 스테인레스 강 또는 다른 적합한 재료로 제조될 수 있다. 리드(40)는 내부에 공정 영역(30)을 형성하기 이해서 챔버 어댑터(16)에 제거가능하게 연결된다.
히터(14)는 챔버 바디(10)의 공정 영역(30) 내에 배열된다. 히터(14)는 기판(8)에 온도를 제공하도록 이용될 수 있으며, 그에 따라 공정 중에 기판을 가열 또는 냉각시킬 수 있다. 히터(14)는 이후에 더 기재되는 바와 같이 기판의 표면을 세정하는바와 같은 공정 중에 상부에 기판(8)을 지지한다. 히터(14)는 챔버 어댑터(16)의 바닥에 연결되며 외측 주변상에 배열되는 포커스 링(38)을 지지한다. 포커스 링(38)은 처리 중에 웨이퍼(8)을 에워싼다. 일 실시예에서, 포커스 링(38)은 석영으로 제조될 수 있다.
어댑터(18)는 리드(40)와 챔버 어댑터(16) 사이에 배열되며 상부의 가스 분배 판(26)을 지지한다. 플레넘(48)이 가스 분배 판(26)과 리드(40) 사이에 형성된다. 가스 분패 판(26)은 복수의 개구를 포함하며 리드(40) 내에 형성된 포트(42)를 통해 플레넘(48) 내측으로 유동하는 가스를 공정 영역(30) 내에 배열되는 기판(8)에 걸쳐서 분배한다.
원격 플라즈마 공급원(50)이 도관(56)에 의해 포트(42)에 연결된다. 적합한 원격 플라즈마 공급원의 실시예는 어플라이드 머티어리얼즈 인코포레이티드로부터 입수가능하다. 도관(56)은 원격 플라즈마 공급원(50)이 공정 영역(30)에 유입되기 전에 필터링되는 통로를 제공한다. 이로부터 발생되는 반응성 라디칼은 이온, 대전된 종, 및 다른 반응성 종을 포함한다. 일 실시예에서, 도관(56)을 통해 유동하는 가스는 도관(56)에 인접하여 배열되는 하나 이상의 자석에 의해 발생되는 자기장에 의해 필터링된다.
도 1에 도시된 실시예에서, 제 1 자석(52) 및 제 2 자석(54)이 도관(56)에 인접하여 배열된다. 자석(52, 54)은 도관(56)에 인접한 미리형성된 위치에 부착 또는 고정될 수 있다. 도 1에 도시된 실시예에서, 자석(52, 54)은 패스너에 의해 도관(56)에 고정 또는 부착될 수 있으며, 부착 층 또는 자석(52, 54)을 고정하는 다른 적합한 방법이 이용될 수 있다. 자석(52, 54)이 챔버 리드(40) 또는 챔버 바디(10)의 다른 부분에 고정될 수 있음을 고려해야 한다. 도관(56) 내에 형성된 통로와 자석 사이의 반응 거리는 도관(56)을 통과하는 자기장의 강도에 영향을 미치며, 그에 따라 필터링 효율에 영향을 미친다. 따라서, 일 실시예에서 자석은 전환가능한 방식으로 고정될 수 있어서 선택되는 자기장의 강도가 미리-결정된 필터링 효과를 제공한다.
도 2A 및 도 2B는 도관(56)의 대향 측면상에 배열되는 자석(52, 54)의 일 실시예를 도시하고 있다. 원하지 않는 대전된 입자들은 이온 또는 대전된 종으로부터 발생할 수 있으며 통상의 원격 플라즈마 공급원으로부터 누출될 수 있으며 기판에 충돌하여 그에 따라 세정 공정 후에 저하된 필름 특성을 야기한다. 본 발명의 일 실시예에서, 두 개의 대향 자석(52, 54)은 원격 플라즈마 공급원(50)으로부터 유동하는 반응성 라디칼과 혼합되는 대전된 입자를 필터링하기 위해서 자기장을 발 생시키는데 이용된다. 대향 자석(52, 54)은 연결 도관(56) 내에 자기장(202, 212)을 생성시켜 시스템(200, 210)으로 도시된 바와 같은, 도관(56) 내에 대전된 입자를 포착시키는 필터링 효과를 제공한다. 발생된 자기장은 실질적으로 도관(56)에 걸쳐서 두 개의 대향 자석들 사이의 수평 방향(302)을 가지며 대전된 입자의 이동 경로(304)를 형성한다. 도 3에 도시된 바와 같이, 대전된 입자가 필터링되어 자기장 라인(302)에 의해 제지되며 자기장 라인(302)을 따라 선회 및 이동하는 경향이 있다. 대전된 입자의 이동 경로(304)가 도 3에 도시되어 있다. 도관을 통해 대전된 입자가 유동하기 때문에, 대전된 입자는 감속, 전환, 또는 감속 및 전환된다. 통과하는 대전된 입자들이 당겨져 도관의 벽과 접촉한다. 그 자체로, 필터링되고, 전기적으로 중성인 라디칼만이 상부의 오염물과 반응하고 세정하기 위해서 기판의 표면에 전달된다. 따라서, 원하지 않는 대전된 입자들이 공정 영역(30)에 유입되는 가스 흐름으로부터 효율적으로 필터링된다.
전술된 바와 같이, 자기장의 강도는 다른 공정 요건을 기초로 하여 조정가능할 수 있다. 다른 공정 상태하에서, 전자의 다른 에너지가 원격 플라즈마 공급원으로부터 도입되는 반응성 라디칼 및 다른 이온에 의해 생성될 수 있다. 보다 강한 자기장은 전자로부터 대전된 보다 높은 에너지를 갖는 이온을 포착하도록 인가될 수 있다. 대조적으로, 보다 약한 자기장은 전자로부터 대전된 보다 약한 에너지를 갖는 이온을 포착하기 위해서 인가될 수 있다. 일 실시예에서, 자기장은 다른 자석을 이용함으로써 조절될 수 있으며, 즉 다른 강도를 갖는 자석으로 대체될 수 있다. 다른 실시예에서, 자기장은 대향 자석(52, 54)들 사이의 다른 거리를 변 경시킴으로써 조절될 수도 있다. 자석(52, 54)들 사이의 거리는 도관을 이용함으로써 보다 짧은 거리로 축소될 수 있다. 부가적으로, 자석(52, 54)들 사이의 거리는 자석과 도관 사이의 공기 갭을 허용함으로써 연장될 수 있으며, 도 2에 도시된 바와 같이 도관과 자석 사이에 중개 재료(204, 214)를 삽입함으로써 연장될 수 있다. 적합한 재료의 실시예는 유리 보드, 알루미나 피스 또는 다른 비자성 재료를 포함한다. 이에 따라 발생되는 자기장은 대향 자석들 사이의 거리가 증가되는 상태로 역 비례하게 감소한다. 이에 따라 발생하는 자기장은 약 1000 내지 약 100 가우스이다. 다른 실시예에서, 대향 자석(52, 54)은 약 0 내지 약 8 ㎝의 거리를 두고 위치되며, 약 800 내지 약 100 가우스 사이의 자기장을 발생시킨다. 또 다른 실시예에서, 대향 자석(52, 54)은 약 1 내지 약 5 ㎝의 거리를 두고 위치되며 약 600 내지 약 400 가우스 사이의 자기장을 발생시킨다.
도 1을 참고하여 볼 때, 반응성 라디칼은 챔버 바디(100) 내측으로 통과하는 공정 가스(즉, 반응성 라디칼)의 유동 경로 내에 석영 표면을 제공함으로써 더 필터링될 수 있다. 예를 들어, 원격 플라즈마 공급원(50)을 포트(42)에 연결하는 통로를 형성하는 도관(56)의 내부 표면(60)은 적어도 부분적으로 정렬되거나 석영으로 제조될 수 있다. 부가적으로, 플레넘(48) 및/또는 가스 분배 판(26)을 형성하는 표면은 적어도 부분적으로 코팅되거나 석영으로 제조될 수 있다. 예를 들어, 도 1에 도시된 실시예에서, 석영 링(24)은 플레넘(48)의 외측 경계를 에워쌀 수 있다. 부가적으로, 석영 라이너(44)는 플레넘(48)의 상부 경계를 형성하는 리드(40)의 바닥 표면상에 배열될 수 있다. 석영 표면(60)은 수소 함유 라디칼이 수소 결 합할 수 있으며 석영 표면상에 흡수될 수 있는 표면을 제공함으로써 라디칼의 재결합을 감소시키도록 이온 필터로서 작용하는 것으로 여겨진다. 석영 표면(60) 상에 영향을 미치는 수소 함유 라디칼은 흡수된 수소 함유 라디칼을 에너지화된 가스 내측으로 방출시켜, 이에 따라 수소 라디칼을 재생성시킨다. 수소 이온은 석영 표면에 의해 재생성되지 않으며, 따라서 이러한 이온은 전기적으로 중성의, 비-이온 종을 형성하기 위해서 재결합한다. 따라서, 활성화된 세정 가스를 석영 표면에 걸쳐서 통과시킴으로써, 반응성 라디칼은 에너지화된 세정 가스로부터 효과적으로 필터링되면서, 반응성 라디칼이 유지된다. 재결합되는 활성 라디칼로부터 대전된 입자는 효과적으로 감소한다.
더욱이, 본 발명의 예비세정 공정을 위한 공정 가스가 전술된 반응성 공정 챔버 바디(10)와 유체 연통되는 원격 플라즈마 공급원 내의 플라즈마 내측으로 여기된다. 반응성 라디칼은 수소 가스, 헬륨 가스, 또는 이의 조합물을 포함하는 선택된 세정 가스의 그룹으로부터 발생하여 기판 표면상의 오염물과 반응한다. 플라즈마가 충돌된 후에, 수소 또는 헬륨 가스 또는 이의 조합물이 원격 플라즈마 공급원(50) 내측으로 유입되며, 이로부터 반응성 라디칼이 발생한다. 발생된 반응 라디칼은 연결 도관(56)을 통과하여, 오염물을 세정하기 위해서 공정 영역(30) 내측으로 전달된다. 반응성 라디칼의 플라즈마로부터 라디칼을 이용하여 세정한 수에, 기판 표면 내에 남아있는 오염물 및 자연 산화물이 이로부터 발생되는 라디칼에 의해 제거될 수 있다.
본 발명에서, 기판상의 유전체 층은 H2, He, 또는 H2/He의 혼합물로부터 원격 플라즈마 공급원에 의해 발생하여 발생된 자기 필터를 통해 챔버 내측으로 전달되는 반응성 라디칼을 이용하여 예비세정될 수 있다. 필터는 에너지화된 반응성 라디칼을 중화시키며, 플라즈마 영역으로부터 잠재적으로 누출되는 대전된 입자를 감소시키며, 그리고 기판 표면에 중화된 반응성 라디칼을 전달하기 위해서 제공되어 상부의 잔여물 및 표면 오염물을 반응 및 세정시킨다. 중화된 반응성 라디칼은 잔여 포토레지스트, 탄화수소, 플루오르화탄소, 자연 산화물 및 폴리머 등을 제거하며 저 유전체 상수(k) 필름 특성을 더 손상시키지 않고 잔여 유리 유전체 층(free dielectric layer) 및 자연 산화물을 제공한다.
다른 세정 절차가 이용되어 다른 공정 요건을 기초로 하여 세정 효율을 조정할 수 있다. 일 실시예에서, 예비세정 공정은 1500 sccm의 속도로 수소 가스 유동을 포함할 수 있으며, RF 전력을 1500 와트로 인가하며, 웨이퍼 온도를 300 ℃로 유지하며, 챔버를 보다 높은 압력 400 mTorr에서 유지시키며, 통상의 포토레지스트의 에칭률은 1분당 약 800 내지 1000 Å이다. 다른 실시예에서, 예비세정 공정은 200 sccm의 속도로 수소 가스 유동을 포함할 수 있으며, RF 전력을 1500 와트로 인가하며, 웨이퍼 온도를 300 ℃로 유지하며, 챔버를 보다 낮은 압력인 30 mTorr에서 유지시키며, 통상의 포토레지스트의 에칭률은 분당 약 900 내지 1100 Å이다. 또 다른 실시예에서, 예비세정 공정은 1:1의 수소 대 헬륨 가스 비율을 포함할 수 있으며, 챔버 압력을 30 mTorr로 유지시키며, 통상의 포토레지스트의 에칭률은 분당 700 내지 900 Å이다. 다른 실시예에서, 예비세정 공정은 3:7의 수소 대 헬륨 가스 비율을 포함할 수 있으며, 챔버 압력을 45 mTorr로 유지하며, 통상의 포토레지스트의 에칭률은 분당 600 내지 800 Å이다.
도 5는 본 명세서에 기재된 바와 같은 공정을 수행할 수 있는 예시적 다중 챔버 처리 시스템(500)의 개략적 평면도이다. 시스템의 실시예는 어플라이드 머티어리얼즈 아이엔씨로부터 상용으로 입수 가능한 Endura, Centura, 및 Producer 처리 시스템이다. 본 발명으로부터 이익이 될 수 있는 다른 유사한 다중 챔버 처리 시스템이 본 원에 전체가 참조되며, "단 진공 웨이퍼 처리 시스템 및 방법(Stage Vacuum Wafer Processing System and Method)"의 명칭으로 1993년 2월 16일에 허여된 미국 특허 제 5,186,718 호에 기재되어 있다.
시스템(500)은 일반적으로, 시스템(500) 내외측으로부터 기판의 전달을 위해 로드 록 챔버(502, 504)를 포함한다. 통상적으로, 시스템(500)이 진공하에 있기 때문에, 로드 록 챔버(502, 504)는 시스템(500) 내측으로 유입되는 기판을 "펌프 다운(pump down)"시킬 수 있다. 제 1 로봇(510)은 기판을 로드 록 챔버(502, 504), 처리 챔버(512, 514), 전달 챔버(522, 524), 및 다른 챔버(516, 518)들 사이에 기판을 전달할 수 있다. 제 2 로봇(530)은 처리 챔버(532, 534, 536, 538)와 전달 챔버(522, 524) 사이에 기판을 전달할 수 있다. 각각의 처리 챔버(512, 514, 516 및 518)는 원자 층 증착(ALD), 화학 증착(CVD), 물리 증착(PVD), 에칭, 예비-세정, 탈-가스, 배향 및 다른 기판 공정을 포함하는 주기적 층 증착과 같은 다수의 기판 처리 작동을 수행하기 위해서 구비될 수 있다. 또한, 챔버(512, 514, 516, 518, 532, 534, 536, 538)들 중 하나 이상이 전술된 처리 챔버(100)로서 구성된다. 제 1 로봇(510)은 또한, 기판을 하나 이상의 전달 챔버(522, 524)에 전달하거나 하나 이상의 전달 챔버로부터 전달한다.
전달 챔버(522, 524)는 초고진공 상태를 유지하는데 이용되면서 기판이 시스템(500) 내에 전달되게 한다. 제 2 로봇(530)은 전달 챔버(522, 524)와 제 2 세트의 하나 이상의 처리 챔버(532, 534, 536, 538) 사이에 기판을 전달할 수 있다. 처리 챔버(512, 514, 516, 518)와 유사하게, 처리 챔버(532, 534, 536, 538)는 원자 층 증착(ALD), 화학 증착(CVD), 물리 증착(PVD), 에칭, 예비-세정, 탈-가스 및 배향을 포함하는 주기적 층 증착과 같은 다수의 기판 처리 작동을 수행하기 위해서 구비될 수 있다. 임의의 기판 처리 챔버(512, 514, 516, 518, 532, 534, 536, 538)는 시스템(500)으로부터 제거될 수 있으며, 시스템(500)에 의해 수행되는 특정 공정을 필요로 하지 않는 경우에 그러하다.
처리 시스템은 도 1에 도시된 바와 같은 유전체 층을 포함하는 기판 표면을 예비세정하기 위해서, 처리 챔버(100)로서 하나 이상의 예비-세정 챔버, 하나 이상의 원자 층 증착(ALD) 또는 배리어 층을 증착시키도록 구성된 PVD 챔버 및 시드 층을 증착시키도록 구성된 하나 이상의 PVD 챔버를 포함한다. 시스템의 효율 및 수율을 개선하기 위해서, 처리 시스템의 하나의 구성은 기판 표면을 예비 세정하기 위해서 구성된 두 개의 예비세정 챔버, 두 개의 ALD 또는 배리어 층을 증착하도록 구성된 두 개의 ALD 또는 PVD 챔버 및 백-엔드(back-end) 중앙 전달 챔버에 연결되어 배열되는 시드 층을 증착하도록 구성되는 두 개의 PVD 챔버를 포함한다. 일 실 시예에서, 예비세정 챔버는 도면부호(512, 514)로 지칭될 수 있으며, 처리 챔버(534, 536)는 탄탈 질화물(TaN) ALD 또는 PVD 챔버일 수 있으며, 그리고 처리 챔버(532, 538)는 구리 또는 탄탈 PVD 챔버일 수 있다.
본 발명의 기판이 기판의 표면 상의 오염물을 예비세정하기 위해서 예비세정 챔버(512, 514) 내측으로 전달된다. 예비세정 단계 후, 기판은 처리 챔버(534, 536) 내측으로 전달되어 Ti, Ti/TiN, Ta, Ta/TaN 등과 같은 라이너 또는 배리어 층을 유전체 층의 노출된 표면상에 증착시키며, 후속적으로 기판을 다른 처리 챔버(532, 538)에 전달하여 Al, Cu, W, 또는 다른 전도성 재료를 갖는 노출된 부분을 더 충진시킨다.
도 4는 전술된 실시예를 실행하는데 이용될 수 있는 대안적인 반응성 예비-세정 챔버(402)의 개략적인 단면도이다. 본 발명으로부터 이익이 될 수 있는 하나의 챔버는 캘리포니아, 산타 클라라에 소재하는 어플라이드 머티어리얼즈로부터 입수가능한 Preclean Ⅱ 챔버이다. 챔버(402)는 스테인레스 강, 알루미늄 등과 같은 금속 구조물로 형성될 수 있는 측벽(414)을 가지는 베이스 부재(412)에 의해 형성되는 진공 챔버 바디(411)를 포함한다. 바디 부재(412)의 베이스 내의 개구(415)는 챔버 바디(411) 내부의 가스 압력을 제어하는데 이용되는 터보 펌프(416)에 연결된다. 석영 돔(417)은 챔버 바디(411)의 최상부를 형성하며, 베이스 부재(412)의 측벽(414)의 최상부 둘레와 일치하는 둘레 주변에 플랜지(418)를 구비한다. 가스 분배 시스템(419)은 석영 돔(417) 및 베이스 부재(412)의 접합을 제공한다. 석영, 세라믹 등으로 제조된 절연 받침대(420)는 전도성 받침대(422)를 유지시키는 석영 커버(421)를 가지며, 이는 챔버 바디(411) 내에 웨이퍼를 유지시키도록 배열된다. RF 전력 공급원과 같은 고주파수 전력 공급원(432)은 받침대(422)에 전기용량식으로 연결되며 받침대에 네거티브 바이어스 전압을 공급한다.
RF 유도 코일과 같은 안테나(425)는 챔버 바디(411) 내의 플라즈마 밀도를 제어하기 위해서 석영 돔(417) 외부에 감긴다. 안테나(425)는 커버(427)에 의해 지지된다. 안테나(425)는 중공 구리 관으로 형성될 수 있다. 교류 축 전자기장이 안테나(425)의 와인딩(winding) 내측으로 챔버 바디(411) 내에 생성된다. 일반적으로, 약 400 ㎑ 내지 약 13.56 ㎒의 RF 주파수가 사용되며, 이러한 주파수에서 통상의 디자인(도시되지 않음) 작동의 RF 전력 공급원(430)이 매칭 네트워크(도시되지 않음)에 의해 안테나(425)에 연결되어 챔버 바디(411) 내에 플라즈마를 발생시킨다. 고 주파수 전자기장은 받침대(422) 위의 챔버 바디(411)의 일부분 내에 플라즈마를 발생시킨다. 진공은 챔버 바디(411) 내부로 이끌어지며, 공정 가스는 가스 유입구(429)를 통해 챔버 바디(411) 내측으로 하나 이상의 가스 공급원으로부터 펌핑된다. 배기 배출부(428)는 챔버 바디(411) 외측으로 가스를 배출시키는데 이용될 수 있다.
원격 플라즈마 공급원(50)이 연결 도관(56)에 의해 챔버(402)의 최상부에 배열된다. 원격 플라즈마 공급원(50)은 플라즈마를 발생시켜 복수의 반응성 라디칼을 형성하며, 그에 따라 라디칼을 연결 도관(56)을 통해 챔버 바디(411) 에 전달한다. 원격 플라즈마 공급원(50)이 챔버 바디(411)로부터 거리를 두고 위치되어 반응성 라디칼은 챔버 바디(411)에 거리를 두고 이동하며 필터(200)를 통과한다. 필 터가 연결 도관(56) 내에 위치되도록 배열되어 원격 플라즈마 공급원(50)으로부터 발생하는 에너지화된 반응성 라디칼을 필터링하고 중화시킨다.
따라서, 유전체 필름을 세정하는 장치 및 방법이 제공되어 전도성 층 증착 전 예비세정 공정 후에 저 유전체 상수(k) 필름을 감소시키고 저 유전체 상수(k) 필름 품질을 유리하게 개선한다.
본 발명은 실시예가 전술되어 있지만, 본 발명의 다른 추가의 실시예가 본 발명의 기본 범위를 벗어나지 않고 제안될 수 있으며, 본 발명의 범위는 하기의 처구범위에 의해 결정된다.

Claims (23)

  1. 유전체 필름을 세정하는 장치로서,
    내부의 기판을 지지하는 챔버 바디;
    상기 챔버 바디에 복수의 반응성 라디칼을 제공하는 원격 플라즈마 공급원;
    상기 원격 플라즈마 공급원을 상기 챔버 바디에 연결하는 통로; 및
    상기 통로에 인접하여 배열되는 하나 이상의 자석을 포함하는
    유전체 필름을 세정하는 장치.
  2. 제 1 항에 있어서,
    상기 통로에 인접하여 배열되는 두 개 이상의 자석을 더 포함하는
    유전체 필름을 세정하는 장치.
  3. 제 2 항에 있어서,
    상기 자석들이 상기 도관의 외측 둘레의 대향 측면상에 배열되는
    유전체 필름을 세정하는 장치.
  4. 제 2 항에 있어서,
    상기 통로에 인접하여 배열되는 상기 자석이 상기 통로에 걸쳐서 자기장을 제공하는
    유전체 필름을 세정하는 장치.
  5. 제 4 항에 있어서,
    상기 자석들 사이의 거리를 변경시킴으로써 상기 자기장이 조정가능한
    유전체 필름을 세정하는 장치.
  6. 제 3 항에 있어서,
    상기 대향된 자석들이 약 0 내지 약 10 ㎝ 사이의 거리로 이격되는
    유전체 필름을 세정하는 장치.
  7. 제 1 항에 있어서,
    상기 통로가 석영과 적어도 부분적으로 정렬되는
    유전체 필름을 세정하는 장치.
  8. 제 1 항에 있어서,
    상기 통로의 적어도 일부분이 석영 관을 통해 형성되는
    유전체 필름을 세정하는 장치.
  9. 제 1 항에 있어서,
    상기 원격 플라즈마 공급원에 상기 바디를 연결하는 유입구 아래에서 상기 챔버 바디 내에 배열되는 가스 분배 판; 및
    상기 유입구와 상기 가스 분배 판 사이에 형성되는 플레넘 내에서 노출되는 석영 표면을 더 포함하는
    유전체 필름을 세정하는 장치.
  10. 제 1 항에 있어서,
    상기 기판에 열을 제공하도록, 상기 챔버 바디 내에 배열되는 히터를 더 포함하는
    유전체 필름을 세정하는 장치.
  11. 유전체 층을 세정하는 방법으로서,
    공정 챔버 내에 배열된 적어도 부분적으로 노출되는 유전체 층을 가지는 기판을 제공하는 단계;
    복수의 반응성 라디칼을 원격 플라즈마 공급원 내에 발생시키는 단계;
    상기 반응성 라디칼을, 통로에 인접하여 배열되는 하나 이상의 자석을 가지는 상기 통로를 통해 상기 원격 플라즈마 공급원으로부터 상기 공정 챔버 내측으로 유동시키는 단계; 및
    상기 통로를 통과하는 상기 반응성 라디칼을 자기적으로 필터링하는 단계를 포함하는
    유전체 층을 세정하는 방법.
  12. 제 11 항에 있어서,
    상기 통로에 인접하여 배열되는 상기 자석에 의해 자기장을 조정하는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  13. 제 11 항에 있어서,
    상기 통로 내의 대전된 입자를 중화시키는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  14. 제 13 항에 있어서,
    상기 통로의 벽과 접촉하는 상기 대전된 입자를 끌어당기는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  15. 제 13 항에 있어서,
    상기 원격 플라즈마 공급원의 하류 부분의 석영 표면에 상기 반응성 라디칼을 노출시키는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  16. 제 11 항에 있어서,
    상기 필터링된 반응성 라디칼을 이용하여 상기 노출된 유전체 층을 세정하는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  17. 제 16 항에 있어서,
    상기 세정된 유전체 층의 적어도 일부분 상에 배리어 층을 증착시키는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  18. 제 17 항에 있어서,
    상기 유전체 층이 저 유전체 상수(k) 재료인
    유전체 층을 세정하는 방법.
  19. 제 16 항에 있어서,
    상기 세정 단계가
    상기 공정 챔버를 약 400 MTorr 미만의 압력으로 유지하는 단계;
    원격 플라즈마 공급 전력을 약 1200 내지 1800 W의 범위 내에서 인가하는 단계; 및
    상기 챔버에 수소 가스를 유동시키는 단계를 포함하는
    유전체 층을 세정하는 방법.
  20. 제 19 항에 있어서,
    상기 챔버 압력 유지 단계는, 상기 공정 챔버를 약 30 MTorr 압력으로 유지시키는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  21. 제 19 항에 있어서,
    상기 공정 챔버에 헬륨 가스를 유동시키는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  22. 제 11 항에 있어서,
    상기 기판을 약 250℃ 내지 약 350℃의 온도로 가열하는 단계를 더 포함하는
    유전체 층을 세정하는 방법.
  23. 유전체 층을 세정하는 방법으로서,
    제 1 공정 챔버 내에 배열된 적어도 부분적으로 노출되는 유전체 층을 가지는 기판을 제공하는 단계;
    원격 플라즈마 공급원 내에 복수의 반응성 라디칼을 생성시키는 단계;
    상기 반응성 라디칼을, 상기 원격 플라즈마 공급원으로부터 통로에 인접하여 배열된 하나 이상의 자석을 가지는 상기 통로를 통해 상기 공정 챔버 내측으로 유동시키는 단계;
    상기 통로를 통과하는 상기 반응성 라디칼로부터 대전된 입자를 자기적으로 필터링하는 단계;
    상기 원격 플라즈마 공급원으로부터 통과하는 대전된 입자를 제거하는 단계;
    상기 필터링된 라디칼을 이용하여 상기 유전체 층의 노출된 부분을 세정하는 단계;
    상기 세정된 기판을 진공상태에서 제 2 처리 챔버에 전달하는 단계; 및
    상기 제 2 챔버 내의 상기 세정된 기판 상에 라이너 층을 증착하는 단계를 포함하는
    유전체 층을 세정하는 방법.
KR1020077024203A 2005-11-22 2006-11-17 유전체 필름을 세정하기 위한 장치 및 방법 KR100915585B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/284,775 US7658802B2 (en) 2005-11-22 2005-11-22 Apparatus and a method for cleaning a dielectric film
US11/284,775 2005-11-22

Publications (2)

Publication Number Publication Date
KR20070114828A true KR20070114828A (ko) 2007-12-04
KR100915585B1 KR100915585B1 (ko) 2009-09-07

Family

ID=38052284

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077024203A KR100915585B1 (ko) 2005-11-22 2006-11-17 유전체 필름을 세정하기 위한 장치 및 방법

Country Status (6)

Country Link
US (1) US7658802B2 (ko)
JP (1) JP5058173B2 (ko)
KR (1) KR100915585B1 (ko)
CN (1) CN101511497B (ko)
TW (1) TWI377607B (ko)
WO (1) WO2007120276A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464357B1 (ko) * 2008-06-13 2014-11-25 주성엔지니어링(주) 기판처리장치
KR20170103672A (ko) * 2016-03-04 2017-09-13 도쿄엘렉트론가부시키가이샤 기판 처리 장치
KR20190016537A (ko) * 2016-06-03 2019-02-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
CN103003926B (zh) * 2010-06-25 2016-05-25 应用材料公司 具有减少的离子流的预清洁腔室
US10984993B2 (en) * 2010-09-27 2021-04-20 Beijing Naura Microelectronics Equipment Co., Ltd. Plasma processing apparatus
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
CN102486986B (zh) * 2010-12-03 2015-06-03 中芯国际集成电路制造(北京)有限公司 等离子清洁装置
US8659229B2 (en) * 2011-05-16 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Plasma attenuation for uniformity control
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
WO2014150234A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
WO2014189622A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. A coated liner assembly for a semiconductor processing chamber
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
SG11201603358PA (en) * 2014-03-04 2016-09-29 Canon Anelva Corp Vacuum process apparatus and vacuum process method
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
KR102363241B1 (ko) * 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
KR20240005999A (ko) * 2017-02-10 2024-01-12 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
JP7374158B2 (ja) 2021-10-15 2023-11-06 株式会社荏原製作所 生成物除去装置、処理システム及び生成物除去方法
JP2023120921A (ja) * 2022-02-18 2023-08-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
JPH0627323B2 (ja) * 1983-12-26 1994-04-13 株式会社日立製作所 スパツタリング方法及びその装置
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5045166A (en) 1990-05-21 1991-09-03 Mcnc Magnetron method and apparatus for producing high density ionic gas discharge
JPH06192830A (ja) 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
GB9503305D0 (en) * 1995-02-20 1995-04-12 Univ Nanyang Filtered cathodic arc source
AUPO338396A0 (en) * 1996-11-04 1996-11-28 Sola International Holdings Ltd Sputter coating apparatus
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000311868A (ja) * 1999-04-27 2000-11-07 Canon Inc 負イオンを用いた表面処理装置及び表面処理方法及び半導体装置の製造方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6929727B2 (en) * 1999-04-12 2005-08-16 G & H Technologies, Llc Rectangular cathodic arc source and method of steering an arc spot
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
EP1103537B1 (de) * 1999-11-19 2003-05-14 Ciba SC Holding AG Verfahren zur selektiven Oxidation von Alkoholen unter Verwendung leicht abtrennbarer Nitroxylradikale
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
WO2001058864A1 (en) 2000-02-07 2001-08-16 Rhone-Poulenc Animal Nutrition Process for the preparation of 2-hydroxy-4-methylthio butyric acid esters
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US7300559B2 (en) * 2000-04-10 2007-11-27 G & H Technologies Llc Filtered cathodic arc deposition method and apparatus
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
JP5173101B2 (ja) 2000-05-15 2013-03-27 エイエスエム インターナショナル エヌ.ヴェー. 集積回路の製造方法
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
CN1363717A (zh) * 2001-12-07 2002-08-14 中国科学院长春光学精密机械与物理研究所 低温下用磁控溅射技术制备无应力氧氮硅薄膜
JP4392852B2 (ja) * 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
KR100969027B1 (ko) * 2002-04-12 2010-07-09 도쿄엘렉트론가부시키가이샤 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법
JP4033757B2 (ja) * 2002-11-06 2008-01-16 大日本スクリーン製造株式会社 基板処理装置
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464357B1 (ko) * 2008-06-13 2014-11-25 주성엔지니어링(주) 기판처리장치
KR20170103672A (ko) * 2016-03-04 2017-09-13 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US10734201B2 (en) 2016-03-04 2020-08-04 Tokyo Electron Limited Substrate processing apparatus
US11328904B2 (en) 2016-03-04 2022-05-10 Tokyo Electron Limited Substrate processing apparatus
KR20190016537A (ko) * 2016-06-03 2019-02-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼

Also Published As

Publication number Publication date
TWI377607B (en) 2012-11-21
US20070113868A1 (en) 2007-05-24
WO2007120276A2 (en) 2007-10-25
CN101511497A (zh) 2009-08-19
JP2009516920A (ja) 2009-04-23
US7658802B2 (en) 2010-02-09
JP5058173B2 (ja) 2012-10-24
KR100915585B1 (ko) 2009-09-07
TW200807519A (en) 2008-02-01
WO2007120276A3 (en) 2008-12-11
CN101511497B (zh) 2012-06-27

Similar Documents

Publication Publication Date Title
KR100915585B1 (ko) 유전체 필름을 세정하기 위한 장치 및 방법
US10049891B1 (en) Selective in situ cobalt residue removal
US10163696B2 (en) Selective cobalt removal for bottom up gapfill
US8217513B2 (en) Remote plasma processing of interface surfaces
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
US7575007B2 (en) Chamber recovery after opening barrier over copper
JP3555966B2 (ja) 多ゾーン・プラズマ処理方法
US7695567B2 (en) Water vapor passivation of a wall facing a plasma
JP4901004B2 (ja) 基板表面上の酸化銅を除去する方法
TW202117931A (zh) 間隙填充沉積製程
CN101448580B (zh) 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
KR20110074912A (ko) 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
JPH10308296A (ja) 誘導結合式プラズマリアクタのプラズマ閉込め
WO2004065658A1 (en) Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US20040018715A1 (en) Method of cleaning a surface of a material layer
US11062887B2 (en) High temperature RF heater pedestals
TW202125704A (zh) 用於形成互連結構之方法及設備
EP2863416B1 (en) Method for etching copper layer
JP4123428B2 (ja) エッチング方法
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
WO2021041593A1 (en) Selective cobalt deposition on copper surfaces
US20230377855A1 (en) Lower deposition chamber ccp electrode cleaning solution

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 11