CN101511497A - 清洗介电薄膜的设备及方法 - Google Patents

清洗介电薄膜的设备及方法 Download PDF

Info

Publication number
CN101511497A
CN101511497A CNA2006800133543A CN200680013354A CN101511497A CN 101511497 A CN101511497 A CN 101511497A CN A2006800133543 A CNA2006800133543 A CN A2006800133543A CN 200680013354 A CN200680013354 A CN 200680013354A CN 101511497 A CN101511497 A CN 101511497A
Authority
CN
China
Prior art keywords
passage
chamber
plasma source
remote plasma
magnet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800133543A
Other languages
English (en)
Other versions
CN101511497B (zh
Inventor
X·付
J·弗斯特
W·W·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101511497A publication Critical patent/CN101511497A/zh
Application granted granted Critical
Publication of CN101511497B publication Critical patent/CN101511497B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明是提供一清洗介电薄膜的设备及方法。于一实施例中,清洗介电薄膜的设备包括:一腔室主体,是适以将一基材支撑于其内;一远端等离子源,是适以提供多个反应性自由基至腔室主体;一通道,将远端等离子源耦接至腔室主体;及至少一磁铁,设置于邻近通道处。另一实施例中,清洗一介电薄膜的方法包括:提供一基材,而基材设置于制程室内,并具有至少部分暴露的介电层;于一远端等离子源内产生多个反应性自由基;将反应性自由基由远端等离子源经过一通道流入制程室,且通道具有至少一磁铁设置于邻近该通道处;以及利用磁性过滤通过通道的反应性自由基。

Description

清洗介电薄膜的设备及方法
技术领域
本发明涉及一种用于清洗基材表面的设备及方法,特别是提供一种清洗介电薄膜表面的设备及方法。
背景技术
通常通过形成一系列的介电层及导电层来制造集成电路及半导体元件的内连线结构,以产生由介电材料区隔的导电层的立体网络。内连线结构例如利用镶嵌结构(damascene structure)来制造的,而镶嵌结构是包括形成于一或多个导电柱(plug)或次层(sub-Iayer)上的介电层(例如:低介电常数的介电层)。为了要与导电次层形成电连接,介电层经过图样化并被蚀刻以定义出穿透该层的穿孔,而在介电层所形成的穿孔暴露出一部份的导电线路。因此,该些内连线特征结构的确实形成是为确保形成在各个基材上及各个晶粒(die)内的元件的品质、效能及可靠度。
集成电路及半导体元件市场对于快速的电路系统及更高的电路密度出现持续的需求,例如:在单一晶片上包括有数百万计的元件。因此,集成电路元件的尺寸缩小,而用于制造该些元件的材料变得更加重要。举例来说,针对现今用于提供集成电路上元件之间导电通路的低电阻金属内连线(例如:铜与铝)来说,在金属内连线之间需要低介电常数层(例如介电常数≤4)以提供绝缘内金属层来降低相邻金属线路之间的电容耦合,而可在相同线路宽度下具有可靠的效能表现。
习知用作为介电层的低介电常数(k)材料包括:未掺杂的硅玻璃(USG)、掺杂氟的硅玻璃(FSG)、掺杂碳的二氧化硅及聚四氟乙烯,并以薄膜形式沉积在基材上。在以蚀刻定义的介电层上形成导电层之前,较佳是清洗介电薄膜的表面以移除残留的污染物,例如蚀刻及/或灰化制程产生的原生氧化物及/或有机材料。移除污染物可降低接触电阻及/或预防待沉积的导电层介面的吸附损耗(adhesion loss)。
在导电层沉积之前,是采用预清洗步骤以自介电薄膜表面移除污染物。然而,习知用于进行介电层的预清洗的原位(in-situ)等离子可能会在接续的导电层沉积之前,伤害或重新溅镀介电薄膜表面,或是在制程室中产生不预期的带电粒子。就其本身而论,利用原位等离子技术清洗低k介电薄膜可能会造成薄膜降解或是缺陷。另外,掺杂碳的低k材料会倾向呈现碳键耗尽(carbon depletion)或是介电材料损失(k loss),而低k材料在暴露于清洗步骤所使用的等离子之后,其介电常数会增加。因此,在清洗步骤之后,不期望产生的串线干扰(cross-talk)及阻容迟滞(RC delay)成为严重的问题。
综上所述,在该技术领域中需要一种改良的低k介电清洗步骤。
发明内容
本发明是提供用以清洗介电薄膜的设备及方法。于一实施例中,该设备包括:一腔室主体,是适以将一基材支撑于其内;一远端等离子源,是适以提供多个反应性自由基至腔室主体;一通道,将远端等离子源耦接至腔室主体;及至少一磁铁,设置于邻近通道处。
于另一实施例中,清洗一介电薄膜的方法包括:提供一基材,而基材设置于制程室内,并具有至少部分暴露的介电层;于一远端等离子源内产生多个反应性自由基;将反应性自由基由远端等离子源经过一通道流入制程室,且通道具有至少一磁铁设置于邻近该通道处;以及利用磁性过滤通过通道的反应性自由基。
又另一实施例中,清洗一介电薄膜的方法包括:提供一基材,而基材设置于第一制程室内,并具有至少部分暴露的介电层;于一远端等离子源内产生多个反应性自由基;将反应性自由基由远端等离子源经过一通道流入制程室,且通道具有至少一磁铁设置于邻近该通道处;由通过通道的反应性自由基中,以磁性过滤出多个带电粒子;将来自远端等离子源的带电粒子移除;利用已过滤的反应性自由基来清洗部分的介电层;在不破坏真空的情形下,将已清洗的基材传输至一第二制程室;以及于第二制程室中将一衬垫层沉积于已清洗的基材上。
附图说明
本发明通过上方说明并伴随下方图式而可清楚了解,该些图式包括:
图1,绘示根据本发明的反应性预清洗室的一实施例的剖面概要视图;
图2A,绘示根据本发明的二相对设置磁铁的一实施例的剖面概要视图;
图2B,绘示图2A的二相对设置磁铁之间存在的磁场的上视图;
图3,绘示根据本发明而受磁铁磁化的带电粒子的移动路径的一实施例的上视图;
图4,绘示反应性预清洗室的另一实施例,其可用于实施本发明的实施例;及
图5,绘示多腔室制程系统的一实例的上视图,其可适用于执行本发明的步骤。
为了便于了解,说明书中是采用相同的元件符号来代表各图式中相同的元件。并且可预期一实施例的元件及特征可受益地并入其他实施例而不需进一步说明。
主要元件符号说明
8        基材/晶片               10       腔室主体
14       加热器                  16       腔室承接器
18       接合器                  24       石英环
26       气体分配板              30       制程区域
38       集中环                  40       上盖
42       入口                    44       石英衬垫
48       充气部                  50       远端等离子源
52,54   磁铁                    56       导管
60       表面                    100      制程室
200      系统/过滤器             202,212 磁场
204,214 间隔材料                210      系统
302         水平方向/磁力线          304        移动路径
402         预处理室/腔室            411        腔室主体
412         基底部件                 414        侧壁
415         开口                     416        泵
417         圆顶                     418        凸缘
419         气体分配系统             420        基座
421         遮盖件                   422        基座
425         天线                     427        上盖
428         排气出口                 429        气体入口
430         电源供应器               432        电源供应器
500         (制程)系统               502,504   加载锁定室
510,530    机械手臂                 512,514   制程室/预清洗室
516,518    腔室                     522,524   传输室
532,534,536,538   制程室
具体实施方式
本发明的实施例是提供一种利用远端等离子源来清洗介电层的设备。本发明的设备在清洗介电层的过程中,通过降低来自远端等离子源的带电粒子数目,而维持介电薄膜的品质。
本发明更提供一种用于清洗介电层的方法。清洗蚀刻后(post-etched)的介电层可移除污染物,并增进接续导电层沉积的吸附情形,最终改善所形成的元件的完整性及可靠度。
于一实施例中,介电层可为一低介电常数(k)材料。低k介电层包括具有介电常数小于约4.0的介电材料,例如介于约2.0~约4.0、约2.0~3.0或是小于约2.7。一适合的低k介电层为加州圣克拉拉的应用材料公司(Applied Materials,Inc.)所制造的低k碳氧化硅,商品名为BlackDiamondTM。在另一实施例中,适合的低k介电层包括与氧、碳及氢至少其中的一结合的硅。
在蚀刻介电层之后,基材表面可能具有受到伤害的硅薄膜或是金属残留物。光阻剥除或灰化步骤亦可能使基材表面残留有光阻。介电层的蚀刻亦可能出现聚合物的残留现象。为了缓和及/或减少上述的伤害,在导电层沉积之前,是进行一远端等离子预清洗步骤。
本发明的清洗步骤是于一具有过滤式的远端等离子源的制程室中进行。可采用并受益于本发明的具有远端等离子源的制程室是购自应用材料公司。
「图1」是绘示远端等离子源(RPS)制程室100的一实施例的剖面示意图,其具有一过滤式远端等离子源50及一腔室主体10。制程室100的腔室主体10包括有一腔室承接器16、一接合器18及一上盖40。腔室承接器16及上盖40可由铝、不锈钢或其他适合材料制成。上盖40是可移除地连接至腔室承接器16,以于其中定义一制程区域30。
加热器14是设置于腔室主体10的制程区域30中,且用以提供基材8温度,而在制程当中加热或冷却基材8。加热器14于制程(例如下方所述的清洗基材表面)当中将基材8支撑于其上。加热器14耦接至腔室承接器16的底部,并支撑设置在其外围的集中环(focus ring)38,而集中环38于制程当中界定出晶片8的周围界线。于一实施例中,集中环38是由石英制成。
接合器18是设置于上盖40与腔室承接器16之间,并将气体分配板26支撑于其上方。气体分配板26与上盖40之间定义出一充气部48。气体分配板26包括多个孔洞,使得通过上盖40的入口42并流入充气部48的气体分配至置放于制程区域30中的基材8上。
远端等离子源50通过导管56连接至入口42。适合的远端等离子源的实例是购自应用材料公司。导管56提供一通道,则远端等离子源50所产生的反应性自由基在进入制程区域30之前于导管56中先被过滤。远端等离子源50所产生的反应性自由基包括离子、带电物质及其他反应性物质。于一实施例中,流经导管56的气体是通过一磁场过滤的,而该磁场是由位于邻近导管56处的至少一磁铁所产生。
于「图1」所示的实施例中,第一磁铁52及第二磁铁54是位于邻近导管56处。磁铁52、54可附着或固定至邻近导管56的预定位置。于「图1」所示的实施例中,磁铁52、54是以一紧固件而固定或附着至导管56,亦可采用粘着层或是其他适合的方法来固定磁铁52、54。磁铁52、54亦可固定至腔室上盖40或是腔室主体10的其他部位。磁铁与导管56中所形成的通道之间的相对距离会影响通过导管56的磁场强度,而进一步影响过滤效率。因此,于一实施例中,磁铁是以一可变换位置的方式固定,使得磁场强度可经选择而提供预定的过滤效果。
「第2A~2B图」是绘示设置于导管56相对侧的磁铁52、54的一实施例。由离子或带电物质会产生不欲其存在的带电粒子,并且亦可能由传统的远端等离子源漏出而对基材造成影响,使得在清洗步骤之后造成薄膜特性降低。于本发明的实施例中,二相对设置的磁铁52、54是用于产生磁场,以过滤由远端等离子源50流出的夹带有反应性自由基的带电粒子。相对设置的磁铁52、54在连结导管56中产生磁场202、212,以提供过滤作用而捕捉导管56中的带电粒子,如系统200、210所示。所产生的磁场于二相对设置的磁铁之间具有一横跨导管56的实质水平方向302,而限制带电粒子的移动路径304。如「图3」所示,带电粒子是被磁力线302所过滤并限制,而倾向沿着磁力线302旋转并移动。带电粒子的移动路径304是如「图3」所示。当带电粒子流经导管,其会减速及/或转向,而通过的带电粒子被吸引并与导管壁接触,进而转变为电中性及非离子性的物质。藉此,实质上仅有经过过滤且为电中性的自由基输送至基材表面,并与基材上的污染物反应而清洗之。因此,不欲其存在的带电粒子是有效地将其自进入制程区域30的气体流中过滤出。
如上所述,可基于不同制程需求而调整磁场强度。在不同的制程条件下,由远端等离子源所导入的不同离子及反应性自由基会产生具有不同能量的电子。可采用较强的磁场而捕捉由电子使其带有较高能量电荷的离子。相对的,可采用较弱的磁场来捕捉由电子使其带有较低能量电荷的离子。于一实施例中,可利用不同的磁铁调整磁场,意即是,以具有不同强度的磁铁来替换。于另一实施例中,亦可通过改变相对设置的磁铁52、54的距离来调整磁场。可采用具有较小直径的导管来缩短磁铁52、54之间的距离。另外,可使磁铁与导管之间具有一空气间隙(air gap)来增长磁铁52、54之间的距离,或是将间隔材料204、214置于导管与磁铁之间,如「第2图」所示,而适合的材料包括玻璃板、铝块或是其他无磁性材料。随着相对设置的磁铁间的距离增加,所产生的磁场是呈反比地降低。于一实施例中,相对设置的磁铁52、54的距离为约0~约20公分,则所产生的磁场为约1000~约100高斯(gauss)。于另一实施例中,相对设置的磁铁52、54的距离为约0~约8公分,则所产生的磁场为约800~约100高斯。又另一实施例中,相对设置的磁铁52、54的距离为约1~约5公分,则所产生的磁场为约600~约400高斯。
请往回参照「图1」,可通过于制程气体(即:反应性自由基)流入腔室主体10的流动通道中提供一石英表面而可进一步过滤反应性自由基。举例来说,定义出将远端等离子源50连接至入口42的通道的导管56的内表面60可至少部分具有石英衬垫或是由石英制成。另外,定义出充气部48及/或气体分配板26的表面亦可至少部分涂覆有石英或是由石英制成。举例来说,于「图1」所示的实施例中,石英环24是围绕在充气部48的外边界。另外,一石英衬垫44是设置在定义出充气部48的上边界的上盖40底表面。石英表面60可作为离子过滤器,并通过提供一表面而降低自由基的再结合,而此表面是为一含氢自由基可氢键结合并吸附至其上的石英表面。冲撞至石英表面60的含氢自由基使得一已吸附的含氢自由基释出至激发气体中,而再产生氢自由基。氢离子不会通过石英表面而再产生,因此这些离子会再结合而形成电中性且非离子性的物质。因此,将已激发的清洗气体通过石英表面,则可将反应性自由基有效地自激发的清洗气体过滤出,而保存反应性自由基。来自重新结合活化自由基的带电粒子是有效地减少。
另外,本发明用于预清洗步骤的制程气体是于远端等离子源50中激发为等离子,而远端等离子源50是与上述的反应性制程腔室主体10为流体连通。反应性自由基是由清洗气体所产生,而清洗气体是选自由氢气、氦气及其混合物所组成的群组,并与基材表面上的污染物反应。等离子点然后,氢气、氦气或其混合物是导入远端等离子源50,而产生反应性自由基。所产生的反应性自由基接着通过连接的导管56而输送至制程区域30以清洗污染物。以具有反应性自由基的等离子的自由基进行清洗之后,则可移除残留在基材表面上的原生氧化物及污染物。
于本发明中,基材上的介电层是以远端等离子源由氢气、氦气或其混合物而产生的反应性自由基进行预清洗,且反应性自由基是通过一磁性过滤器而输送至腔室中。过滤器是中和已激发的反应性自由基,并降低可能自等离子区域所漏出的带电粒子,并将中和后的反应性自由基输送至基材表面,以与表面上的污染物及残留物反应并清洗的。中和后的反应性自由基可移除光阻、烃、氟碳化合物、原生氧化物及聚合物,并提供不具有原生氧化物及残留物的介电层,而不会进一步损害低k薄膜的性质。
依据不同制程的需求,可使用不同的清洗压力来调整清洗效率。于一实施例中,预清洗步骤含有流速为1500sccm的氢气、施加1500瓦特的RF功率、维持晶片温度在300℃,并将腔室维持在400毫托(mTorr)的较高压力下,其中传统的光阻蚀刻速率为约每分钟800~1000
Figure A200680013354D0013161845QIETU
。于另一实施例中,预清洗步骤含有流速为200sccm的氢气、施加1500瓦特的RF功率、维持晶片温度在300℃,并将腔室维持在30毫托的较低压力下,其中传统的光阻蚀刻速率为约每分钟900~1100
Figure A200680013354D0013161845QIETU
。又另一实施例中,预清洗步骤含有比例为1∶1的氢气及氦气,且将腔室压力维持在30毫托,其中传统的光阻蚀刻速率为约每分钟700~900
Figure A200680013354D0013161845QIETU
。再另一实施例中,预清洗步骤含有比例为3∶7的氢气及氦气,且将腔室压力维持在45毫托,其中传统的光阻蚀刻速率为约每分钟600~800
Figure A200680013354D0013161845QIETU
「图5」是为示范性多腔室制程系统500的上视图,其是适以进行本发明所揭露的制程。制程系统500的实例为购自应用材料公司的Endura、Centura以及Producer制程系统。另一个适用且受益于本发明的相似的多腔室制程系统是揭露于美国专利号第5,186,718号,专利名称为「StageVacuum Wafer Processing System and Method」,公告日为1993年2月16日,并于此处将其并入而作为参考。
系统500一般包括加载锁定室502、504,用以将基材传输进出系统500。一般来说,由于系统500是处于真空之下,加载锁定室502、504会将导入系统500的基材进行”抽气降压”。第一机械手臂510将基材传输于加载锁定室502、504、制程室512、514、传输室522、524及其它腔室516、518之间。第二机械手臂530则将基材传输于制程室532、534、536、538以及传输室522、524之间。每一个制程室512、514、516、518是用以执行多种基材制程操作,例如循环式层沉积,包括:原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、脱气、定位及其它基材制程。另外,至少其中的一腔室512、514、516、518、532、534、536、538是作为如上所述的制程室100。第一机械手臂510亦将基材传输至一或多个传输室522、524或自其传送出。
传输室522、524是允许基材于系统500中传输,并同时维持在超高真空环境下。第二机械手臂530可将基材传输于传输室522、524与第二组制程室532、534、536、538其中的一或多者之间。相似于制程室512、514、516、518,制程室532、534、536、538是用以执行多种基材制程操作,例如循环式层沉积,包括:原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、脱气、定位。若系统500中不需进行一特定制程,则可将基材制程室512、514、516、518、532、534、536、538任一者自系统500中移除。
制程系统包括:一或多个预清洗室(作为制程室100),用以预清洗含有介电层的基材表面(如「图1」所示);一或多个设置以沉积阻障层的原子层沉积(ALD)或PVD室;以及一或多个设置以沉积种层的PVD室。为了增进系统的效率及生产率,制程系统的一种配置型态包括:二个设置以预清洗基材表面的预清洗室;二个设置以沉积阻障层的ALD或PVD室;以及二个设置以沉积种层的PVD室,而该些腔室是设置而与后端的中央传输室连接。于一实施例中,预清洗室可以为512、514,制程室534、536可以为氮化钽(TaN)ALD或PVD室,制程室532、538可以为铜或钽PVD室。
本发明的基材是传输至预清洗室512、514以预清洗基材表面的污染物。在预清洗步骤之后,基材是传输至制程室534、536以于介电层的暴露表面沉积一衬垫或阻障层,例如Ti、Ti/TiN、Ta、Ta/TaN等,并接着将基材传输至其他的制程室532、538来进一步以Al、Cu、W或其他导电材料填充暴露部分。
「图4」是为另一反应性预处理室402的剖面视图,其可用以实施本发明的实施例。适用且受益于本发明的腔室是为购自加州圣克拉拉应用材料公司的Preclean II腔室。腔室402包括一真空腔室主体411,其是由一具有侧壁414的基底部件412构成,并且由例如不锈钢、铝等金属建构物制成。位于基底部件412的基部内的开口415连接至涡轮泵416,而泵416是用以控制腔室主体411内部的压力。石英圆顶417形成腔室主体411的顶端,并在其圆周处设置有凸缘418,而凸缘418是紧配于基底部件412的侧壁414的上端圆周处。石英圆顶417与基底部件412的接合处设置有气体分配系统419。绝缘基座420是由石英、陶瓷等材料制成,并具有一压制住传导基座422的石英遮盖件421,传导基座422是设置而用以将晶片支托于腔室主体411内。高频电源供应器432(例如RF电源供应器)是电容耦合至基座422,并提供负偏压电压至基座422。
天线425(例如RF感应线圈)是缠绕于石英圆顶417的外侧,用以控制腔室主体411内的等离子密度,并由上盖427支撑的,且天线425可以由中空铜管所形成。腔室主体411的天线425线圈内侧形成一交流轴向电磁场。一般来说,是采用约400kHz~13.56MHz的RF频率,而具有传统设计(图中未示)的RF电源供应器430是于该频率下操作,并通过一匹配网络(图中未示)耦接至天线425,以于腔室主体411中产生等离子。高频电磁场于部分腔室主体411内,而于基座422上方产生一等离子。腔室主体411内形成真空,制程气体则由一或多个气体源(图中未示)通过气体入口429而注入腔室主体411中。可采用排气出口428将气体排出腔室主体411外侧。
远端等离子源50是通过一连接导管56而设置于腔室402顶端。远端等离子源50产生等离子以形成多个反应性自由基,并透过连接导管56而将自由基输送至腔室主体411。远端等离子源50与腔室主体411之间有一距离,因此远端形成的反应性自由基是移动并横跨一距离而至腔室主体411,并通过过滤器200。过滤器200位于连接导管56内,以过滤并中和远端等离子源50所产生的激发态反应性自由基。
因此,本发明是提供一用于清洗介电薄膜的设备及方法,其可改善低k薄膜品质,并降低在导电层沉积之前的预清洗步骤所造成的低k薄膜降解。
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何熟习此技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。

Claims (23)

1.一种用于清洗一介电薄膜的设备,包括:
一腔室主体,是适以将一基材支撑于该腔室主体内;
一远端等离子源,是适以提供多个反应性自由基至该腔室主体;
一通道,是将该远端等离子源耦接至该腔室主体;以及
至少一磁铁,设置于邻近该通道处。
2.如权利要求1所述的设备,其更包括:
二或多个磁铁,设置于邻近该通道处。
3.如权利要求2所述的设备,其中该些磁铁设置于该导管的一外围的相对侧。
4.如权利要求2所述的设备,其中设置于邻近该通道的该些磁铁提供一横跨该通道的磁场。
5.如权利要求4所述的设备,其中可通过改变该些磁铁之间的距离而调整该磁场。
6.如权利要求3所述的设备,其中该些相对设置的磁铁的间隔距离为约0~约10公分。
7.如权利要求1所述的设备,其中该通道是至少部分具有一石英衬垫。
8.如权利要求1所述的设备,其中至少一部份的该通道是由石英管界定出。
9.如权利要求1所述的设备,其更包括:
一气体分配板,是设置于该腔室主体内,并位于一入口下方,该入口连接该腔室主体至该远端等离子源;以及
一石英表面,暴露于该入口与该气体分配板之间所界定出的一充气部。
10.如权利要求1所述的设备,其更包括:
一加热器,设置于该腔室主体内,该加热器是适以提供热给该基材。
11.一种清洗一介电层的方法,包括:
提供一基材,该基材设置于一制程室内,并具有一至少部分暴露的介电层;
于一远端等离子源内产生多个反应性自由基;
将该些反应性自由基由该远端等离子源经过一通道流入该制程室,该通道具有至少一磁铁设置于邻近该通道处;以及
以磁性过滤通过该通道的该些反应性自由基。
12.如权利要求11所述的方法,其更包括:
通过设置于邻近该通道的该磁铁来调整一磁场。
13.如权利要求11所述的方法,其更包括:
中和位于该通道中的多个带电粒子。
14.如权利要求13所述的方法,其更包括:
吸引该些带电粒子与该通道的多个壁面接触。
15.如权利要求13所述的方法,其更包括:
将该些反应性自由基暴露于位于该远端等离子源下游的一石英表面。
16.如权利要求11所述的方法,其更包括:
利用已过滤的该些反应性自由基来清洗该暴露的介电层。
17.如权利要求16所述的方法,其更包括:
将一阻障层沉积于已清洗的该介电层的至少一部分。
18.如权利要求17所述的方法,其中该介电层为一低介电常数(k)材料。
19.如权利要求16所述的方法,其中该清洗步骤更包括:
将该制程室的压力维持在小于约400毫托(mTorr);
施加介于约1200~1800瓦特(W)之间的一远端等离子源功率;以及
将一氢气流入该制程室内。
20.如权利要求19所述的方法,其中维持该制程室的压力的步骤更包括:
将该制程室的压力维持于约30毫托。
21.如权利要求19所述的方法,其更包括:
将一氦气流入该制程室内。
22.如权利要求11所述的方法,其更包括:
将该基材加热至温度介于约250℃~约350℃之间。
23.一种清洗一介电层的方法,包括:
提供一基材,该基材设置于一第一制程室内,并具有一至少部分暴露的介电层;
于一远端等离子源内产生多个反应性自由基;
将该些反应性自由基由该远端等离子源经过一通道流入该制程室,该通道具有至少一磁铁设置于邻近该通道处;
由通过该通道的该些反应性自由基中,以磁性过滤出多个带电粒子;
将来自该远端等离子源的该些带电粒子移除;
利用已过滤的该些反应性自由基来清洗该介电层的暴露部分;
在不破坏真空的情形下,将已清洗的该基材传输至一第二制程室;以及
于该第二制程室中,将一衬垫层沉积于已清洗的该基材上。
CN2006800133543A 2005-11-22 2006-11-17 清洗介电薄膜的设备及方法 Active CN101511497B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/284,775 US7658802B2 (en) 2005-11-22 2005-11-22 Apparatus and a method for cleaning a dielectric film
US11/284,775 2005-11-22
PCT/US2006/061033 WO2007120276A2 (en) 2005-11-22 2006-11-17 An apparatus and a method for cleaning a dielectric film

Publications (2)

Publication Number Publication Date
CN101511497A true CN101511497A (zh) 2009-08-19
CN101511497B CN101511497B (zh) 2012-06-27

Family

ID=38052284

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800133543A Active CN101511497B (zh) 2005-11-22 2006-11-17 清洗介电薄膜的设备及方法

Country Status (6)

Country Link
US (1) US7658802B2 (zh)
JP (1) JP5058173B2 (zh)
KR (1) KR100915585B1 (zh)
CN (1) CN101511497B (zh)
TW (1) TWI377607B (zh)
WO (1) WO2007120276A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102418073A (zh) * 2010-09-27 2012-04-18 北京北方微电子基地设备工艺研究中心有限责任公司 溅射腔室、预清洗腔室以及等离子体加工设备
CN102486986A (zh) * 2010-12-03 2012-06-06 中芯国际集成电路制造(北京)有限公司 等离子清洁装置
CN105304445A (zh) * 2014-07-24 2016-02-03 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体
CN111471980A (zh) * 2020-04-15 2020-07-31 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR101464357B1 (ko) * 2008-06-13 2014-11-25 주성엔지니어링(주) 기판처리장치
KR20130093080A (ko) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 이온 전류가 감소된 예비-세정 챔버
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US8659229B2 (en) * 2011-05-16 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Plasma attenuation for uniformity control
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
CN105051861B (zh) * 2013-03-15 2017-11-14 应用材料公司 适合于在电子器件制造中处理基板的处理系统、设备及方法
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
SG11201603358PA (en) * 2014-03-04 2016-09-29 Canon Anelva Corp Vacuum process apparatus and vacuum process method
KR102363241B1 (ko) * 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
JP2017157778A (ja) * 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US20180230624A1 (en) * 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench
WO2019046453A1 (en) * 2017-08-30 2019-03-07 Applied Materials, Inc. REMOVAL OF HIGH TEMPERATURE CONTAMINANTS FROM AN INTEGRATED EPITAXIS SYSTEM
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
JP7374158B2 (ja) * 2021-10-15 2023-11-06 株式会社荏原製作所 生成物除去装置、処理システム及び生成物除去方法
JP2023120921A (ja) * 2022-02-18 2023-08-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
JPH0627323B2 (ja) * 1983-12-26 1994-04-13 株式会社日立製作所 スパツタリング方法及びその装置
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5045166A (en) 1990-05-21 1991-09-03 Mcnc Magnetron method and apparatus for producing high density ionic gas discharge
JPH06192830A (ja) 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
GB9503305D0 (en) * 1995-02-20 1995-04-12 Univ Nanyang Filtered cathodic arc source
AUPO338396A0 (en) * 1996-11-04 1996-11-28 Sola International Holdings Ltd Sputter coating apparatus
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000311868A (ja) * 1999-04-27 2000-11-07 Canon Inc 負イオンを用いた表面処理装置及び表面処理方法及び半導体装置の製造方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6929727B2 (en) * 1999-04-12 2005-08-16 G & H Technologies, Llc Rectangular cathodic arc source and method of steering an arc spot
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
ATE240285T1 (de) * 1999-11-19 2003-05-15 Ciba Sc Holding Ag Verfahren zur selektiven oxidation von alkoholen unter verwendung leicht abtrennbarer nitroxylradikale
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
WO2001058864A1 (en) 2000-02-07 2001-08-16 Rhone-Poulenc Animal Nutrition Process for the preparation of 2-hydroxy-4-methylthio butyric acid esters
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US7300559B2 (en) * 2000-04-10 2007-11-27 G & H Technologies Llc Filtered cathodic arc deposition method and apparatus
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
CN1363717A (zh) * 2001-12-07 2002-08-14 中国科学院长春光学精密机械与物理研究所 低温下用磁控溅射技术制备无应力氧氮硅薄膜
JP4392852B2 (ja) * 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003226048A1 (en) * 2002-04-12 2003-10-27 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
JP4033757B2 (ja) * 2002-11-06 2008-01-16 大日本スクリーン製造株式会社 基板処理装置
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102418073A (zh) * 2010-09-27 2012-04-18 北京北方微电子基地设备工艺研究中心有限责任公司 溅射腔室、预清洗腔室以及等离子体加工设备
CN102486986A (zh) * 2010-12-03 2012-06-06 中芯国际集成电路制造(北京)有限公司 等离子清洁装置
CN102486986B (zh) * 2010-12-03 2015-06-03 中芯国际集成电路制造(北京)有限公司 等离子清洁装置
CN105304445A (zh) * 2014-07-24 2016-02-03 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN105304445B (zh) * 2014-07-24 2017-08-25 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体
CN107768223B (zh) * 2016-08-16 2021-11-09 Fei 公司 与等离子清洁机一起使用的磁体
CN111471980A (zh) * 2020-04-15 2020-07-31 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法

Also Published As

Publication number Publication date
US20070113868A1 (en) 2007-05-24
WO2007120276A2 (en) 2007-10-25
JP2009516920A (ja) 2009-04-23
TWI377607B (en) 2012-11-21
CN101511497B (zh) 2012-06-27
KR20070114828A (ko) 2007-12-04
WO2007120276A3 (en) 2008-12-11
TW200807519A (en) 2008-02-01
JP5058173B2 (ja) 2012-10-24
KR100915585B1 (ko) 2009-09-07
US7658802B2 (en) 2010-02-09

Similar Documents

Publication Publication Date Title
CN101511497A (zh) 清洗介电薄膜的设备及方法
CN104362066B (zh) 用于cvd腔室清洗的远程诱导耦接的等离子体源
TW495805B (en) Gas distribution system for a CVD processing chamber
CN1989587B (zh) 在化学气相沉积系统中绕过阻滞板分配气体
TWI337382B (zh)
CN108109897B (zh) 等离子体处理系统
JP3768882B2 (ja) 半導体処理用ガス分配装置
JP3555966B2 (ja) 多ゾーン・プラズマ処理方法
KR20180037569A (ko) 유통 소스를 구비하는 챔버
TWI427684B (zh) 用於現場基底處理之方法及裝置
CN101227984B (zh) 低氢压力下的远程等离子预清洁
CN1777691B (zh) 用于减少处理过程中基片背部的淀积的方法和装置
CN100543179C (zh) 用于沉积室的处理套件设计
US6500357B1 (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US20040168769A1 (en) Plasma processing equipment and plasma processing method
CN1720348A (zh) 用来改善制程室边缘清洁速率的挡板旁通装置
WO2006038990A2 (en) Method for treating a substrate
JPH0684812A (ja) 多電極プラズマ処理装置
WO2006038984A1 (en) Surface wave plasma processing system and method of using
KR102586592B1 (ko) 고온 rf 가열기 페디스털들
JPH0870035A (ja) 半導体処理リアクタ
KR20010021403A (ko) 실리콘-산소-탄소 증착 공정의 기판 처리챔버배기라인으로부터 잔류물을 제거하기 위한 방법
WO2011139775A2 (en) Process chamber lid design with built-in plasma source for short lifetime species
WO2006038975A2 (en) Method and system for improving coupling between a surface wave plasma source and a plasma space

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant