KR20010021403A - 실리콘-산소-탄소 증착 공정의 기판 처리챔버배기라인으로부터 잔류물을 제거하기 위한 방법 - Google Patents

실리콘-산소-탄소 증착 공정의 기판 처리챔버배기라인으로부터 잔류물을 제거하기 위한 방법 Download PDF

Info

Publication number
KR20010021403A
KR20010021403A KR1020000049198A KR20000049198A KR20010021403A KR 20010021403 A KR20010021403 A KR 20010021403A KR 1020000049198 A KR1020000049198 A KR 1020000049198A KR 20000049198 A KR20000049198 A KR 20000049198A KR 20010021403 A KR20010021403 A KR 20010021403A
Authority
KR
South Korea
Prior art keywords
chamber
processing chamber
plasma
substrate processing
forming apparatus
Prior art date
Application number
KR1020000049198A
Other languages
English (en)
Other versions
KR100696030B1 (ko
Inventor
리-쿤 시아
히만슈 포크하나
티안-호에 림
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010021403A publication Critical patent/KR20010021403A/ko
Application granted granted Critical
Publication of KR100696030B1 publication Critical patent/KR100696030B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 배기라인에 연결된 하류 플라즈마 형성장치를 갖는 기판 처리챔버의 배기라인 내부에서 미립자 또는 잔류물의 축적을 최소화하는 방법에 관한 것이다. 본 발명의 방법의 일 실시예에서는 기판 증착단계 및 챔버 세정작동 중에 하류 플라즈마 형성장치를 작동시키며 세정가스가 챔버 내측으로 유입되는 시간을 포함하여, 다수 챔버의 셋업 또는 조절단계에서는 작동이 중지된다. 상기 방법은 ①유기실란을 함유하는 증착가스를 상기 기판 처리챔버의 내측으로 유입하고, 상기 처리챔버로부터 배기라인을 통해 상기 하류 플라즈마 형성장치의 내측으로 상기 증착가스의 일부를 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고, ②상기 증착가스의 유입을 정지시키고, 상기 하류 플라즈마 형성장치 내부의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써, 상기 기판 처리챔버내에 배열된 기판 위에 필름을 증착시키는 단계를 포함한다. 하나 이상의 증착단계 이후에, 상기 챔버는 ①에칭제를 상기 기판 처리챔버의 내측으로 유입시키고, 상기 에칭제를 상기 기판 처리챔버로부터 배기라인을 통해 하류 플라즈마 형성장치의 내측으로 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고, ②에칭제의 유입을 정지시키고, 상기 하류 플라즈마 형성장치내의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써, 상기 기판 처리챔버의 내측으로부터 필름 증착물을 제거한다.

Description

실리콘-산소-탄소 증착 공정의 기판 처리챔버 배기라인으로부터 잔류물을 제거하기 위한 방법{IMPROVED METHOD FOR REMOVING RESIDUE FROM SUBSTRATE PROCESSING CHAMBER EXHAUST LINE FOR SILICON-OXYGEN-CARBON DEPOSITION PROCESS}
본 발명은 일반적으로 반도체 처리장비 분야에 관한 것이며, 보다 구체적으로는 처리 챔버에 연결된 진공 배기라인 내의 오염물 및 잔류물을 제거하기 위한 방법에 대한 것이다.
CVD 처리를 하는 동안, 증착가스는 처리 챔버 내로 배출되어, 처리되는 기판의 표면 위에 얇은 필름층을 형성한다. 이러한 CVD 공정이 이루어지는 동안에 처리 챔버의 벽과 같은 부위에서도 원하지 않는 증착이 일어난다. 그러나, 증착가스 내의 각각의 분자가 챔버 안에 있게 되는 시간은 상대적으로 짧기 때문에, 챔버 내로 공급된 분자들 중 작은 양만이 증착 공정에 소비되어 웨이퍼나 챔버 벽에 증착된다.
소비되지 않은 가스분자는 다른 부분적으로만 반응된 화합물 및 반응 부산물과 함께, 보통 "앞라인(foreline)"이라 불리기도 하는 진공 배기라인을 통해 챔버로부터 배출된다. 이 배기가스 중 많은 화합물은 여전히 반응성이 높거나 및/또는 앞라인에서 원하지 않는 증착물을 형성할 수 있는 잔류물이나 미미립자 물질(particulate matter)을 함유한다. 시간이 경과되면 이 잔류물이 증착 축적된 것 및/또는 미립자물질이 문제가 된다. 예를 들어 앞라인에 증착 물질의 다량으로 축적되면, 앞라인 및/또는 그에 연결된 진공펌프는 적절히 세정되지 않으면 막힐 수도 있다. 정기적으로 세정되는 경우에도, 물질의 축적에 의해, 진공펌프의 정상적인 작업에 방해가 되며 따라서 펌프의 수명이 현저하게 단축될 수 있다. 또 고체 물질은 앞라인으로부터 처리 챔버 내로 백워시(backwash)되어 들어가 처리 단계를 오염시켜 웨이퍼 수율에 악영향을 미칠 수 있다.
업계에 공지된 바와 같이, 챔버 벽 내부에 축적되는 증착물질은 챔버세정작업 자체에 의해 제거하는 것이 보통이다. 일반적인 챔버 세정기술에는 불소와 같은 에칭가스를 사용하여, 챔버벽 및 기타 영역으로부터 증착물질을 제거하는 것이 포함된다. 어떤 경우에는 에칭가스가 챔버 내로 도입되고 플라즈마가 형성되어, 에칭가스가 증착된 물질과 반응하여 이를 챔버 벽으로부터 제거한다. 이러한 세정과정은 보통 웨이퍼마다 또는 n개의 웨이퍼마다 이루어진다.
챔버 벽으로부터의 증착물질 제거는 상대적으로 용이한데, 이는 챔버 내에서 플라즈마는 증착된 물질 부근의 영역에서 생성되기 때문이다. 앞라인으로부터 증착물질 제거는, 앞라인이 처리 챔버보다 하류에 위치하기 때문에 어렵다. 정해진 시간 동안, 앞라인 내의 점에서보다 처리 챔버 내의 대부분의 점이 에칭제 불소원자와 더 많이 접한다. 따라서 정해진 시간 동안, 챔버는 세정공정에 의해 적절히 세정되는 반면 앞라인 내의 잔류물 및 유사한 증착물은 남아 있게 된다.
앞라인을 적절히 세정하기 위한 한 방법에서는 세정 작업에 소요되는 시간이 증가된다. 그러나 이는 일반적으로 바람직하지 않은데, 이는 웨이퍼 수율에 악영향을 미치기 때문이다. 또 이러한 잔류물 축적은, 세정단계로부터의 반응물이, 앞라인의 잔류물과 반응할 수 있는 상태에서 앞라인으로 배기되는 정도로까지만 세정될 수 있다. 어떤 시스템과 응용에서는, 배기된 반응물의 수명이 앞라인의 단부 또는 중간에 미치기에는 충분하지 않다. 이러한 시스템 및 응용에서는, 세정시간을 길게 해도 앞라인의 단부나 중간에 축적되는 잔류물을 제거할 수는 없다. 따라서 반도체 처리 시스템에서 앞라인을 완전히 그리고 효과적으로 세정할 수 있는 장비와 방법이 필요하다.
이러한 앞라인의 세정을 용이하게 하기 위한 몇 가지 다양한 장비가 설계되었다. 앞라인 세정을 위한 접근 방법 중 하나는 배기류 중에 존재하는 미립자물질이 진공펌프에 도달되기 전에, 수집챔버(collection chamber) 내로 가스 유동을 돌림으로써, 미립자물질을 포획하는 것이다. 이 기술에 의존하는 장치는 분리 가능한 도어 또는 유사한 억세스를 수집 챔버에 제공하여, 일단 충분한 양의 물질이 챔버 내에 축적되면 쉽게 제거될 수 있게 한다. 전형적으로 기판 증착 시스템은 수집 챔버가 세정되는 기간 동안 임시로 닫혀져서, 시스템의 웨이퍼 수율을 감소시키거나 제한한다.
다른 접근은 배기가스 중의 반응성 구성요소를 플라즈마 강화 CVD 기술을 사용하여 전극 표면의 필름 증착물로서 추출하는 스크러빙 시스템(scrubbing system)이다. 스크러빙 시스템은 반응물을 고체 필름으로써 제거하는 것을 극대화하기 위해 고안된 것이며, 표면적이 큰 나선형 전극을 사용한다. 나선형 전극은 분리 가능한 캐니스터(canister) 내에 포함되며, 이는 블로어 펌프(blower pump) 및 기계적 펌프 사이 앞라인의 단부에 위치한다. 충분한 양의 고체 폐기물이 이들 전극 위에 축적된 뒤, 캐니스터는 폐기 및 대체를 위해 분리될 수 있다.
수집하고자 하는 증착 고체물질에 대한 면적을 제공하기 위해, 넓은 전극 면적에 시스템이 의존한다는 점에서, 종래방법에는 문제가 있다. 전극의 넓은 표면적을 수용하기 위해, 시스템은 커지고 부피도 증가하게 된다. 게다가 이 종래기술 스크러버 시스템의 작동에는 비용이 추가로 소요되는데, 이는 분리 가능한 캐니스터는 일회용 제품으로서 교환되고 적절하게 폐기되어야 하기 때문이다. 또 스크러빙 시스템은 진공 앞라인의 시작부로부터 하류에 위치하고 있으며, 따라서 라인의 이 부분에 축적되는 미립자물질 또는 분말물질(powdery material)의 제거를 보장할 수 없다.
본 발명의 출원인인 어플라이드 머티리얼스는, 짧게 DPA로도 불리는 하류 플라즈마장치(Downstream Plasma Apparatus)에 의한 한층 개선된 앞라인 세정방법을 개발하였다. DPA는 필름 증착단계에서 생성된 미립자물질을 포획하고 이들 포획된 미립자물질을 휘발성 물질로 변환시켜 진공펌프의 막힘 없이 앞라인으로 펌핑해 낸다. 이 변환과정은 미립자가 포획되는 영역에서 에칭가스(etchant gas)로부터 플라즈마를 형성하는 것에 의한다. 다양한 DPA 장치의 예는 196년 10월 30일 출원된 미국 특허출원 08/741,230의 "기판 처리장비용 정위치 진공라인 세정을 위한 평행판 장치"와 1999년 7월 15일 출원된 미국 특허출원 09/354,925의 "기판 처리챔버의 정위치 진공라인 세정을 위한 가열된 정전 미립자 트랩"에 기재되어 있다.
전형적인 한 가지 작동방법에서, DPA 플라즈마는 챔버 세정작업이 이루어지는 동안 형성된다. 세정 과정에서 기판 처리 챔버로부터 배출된 에칭제 가스는 DPA 플라즈마 내에서 분해된다. 이후 플라즈마로부터의 구성성분이 DPA에 포획된 미립자 및 잔류물과 반응하여 휘발성의 반응 부산물을 형성한다. 08/741,230 출원에는 다른 형태의 작동이 설명되어 있는데, DPA는 필름 증착 및 챔버 세정 작업 모두의 동안 플라즈마를 형성하도록 남겨진다.
DPA 장치는 일반적으로 매우 오염이 많은 것으로 알려진 질화실리콘 증착 공정을 포함하여 다양한 필름 증착 공정에서, 앞라인을 청결하게 유지하는데 사용될 수 있다. DPA 장치는 시간초과, 포획된 미립자 및/또는 잔류물가 축적되는 속도와 적어도 동일한 속도로 상기 미립자 및/또는 잔류물가 휘발성 물질로 변환되게끔 작동한다. 이런식으로, DPA 장치는 DPA로부터 미립자를 소개하기 위해 기판 처리 시스템을 일시적으로 정지시킬 필요없이 앞라인을 깨끗하게 유지한다. 따라서 중요하게도, DPA의 사용이 웨이퍼 처리량을 감소시키지 않는다.
차세대용 집적 회로의 요구조건을 충족시키기 위해, 새로운 기술이 끊임없이 개발되고 연구되었다. 예를들어, 오늘날의 조립 플랜트 0.25㎛, 심지어 0.18㎛의 최소 배선폭을 갖는 장치를 연속하여 생산하고 있고, 미래의 플랜트는 이보다 더 작은 크기를 갖는 장치를 생산하게 될 것이다. 집적 회로 상에서 장치들의 치수를 더욱 줄이기 위해, 반도체 산업 분야에서는 저저항성을 갖는 전도성 물질 및 저 유전체 상수를 갖는 절연 물질을 개발하는데 많은 시간과 노력을 경주하였다. 저 유전체 상수 절연막은 접속 금속화의 RC 시간 지연을 줄이고, 서로 다른 금속화 수준 사이의 혼선을 방지하고, 장치의 전력 소모를 줄이기 위하여, 프리메탈(premetal)유전체(PMD) 층 및 인터메탈 유전체(IMD) 층에 있어 특히 바람직하다.
이 분야에서 현재 기존의 집적 회로 제조 공정으로 통합하려는 노력이 진행 중인 일군의 절연막은 탄소 도핑 산화실리콘 막을 포함한다. 이와같은 탄소 도핑 산화실리콘 막을 적층하는 한 가지 방법은 유기실란 및 오존을 포함하는 처리 가스를 적층 챔버 내로 유동시키고, 막 적층 단계 동안에 기판을 100-250℃ 사이의 온도로 가열하는 단계를 포함한다. 후속하는 챔버 세정 단계는 원격 용해된 불소 원자를 챔버 내로 유동시켜 내측 챔버 벽면에 형성된 적층 물질을 제거한다. DPA 장치는 진공 펌프내의 미립자 축적을 방지하기 위해 챔버의 앞라인에 연결될 수 있다.
이러한 새로운 기술을 기존의 집적 회로 제조 공정에 통합하는 것은 종종 해결되어야 할 새로운 이슈 또는 문제점을 야기한다. 예를들면, 연장된 공정 기간 이후에 방금 기술된 탄소 도핑 산화실리콘 막과 연관된 적층 및 세정 공정의 시퀀스는 DPA 내부에 에칭에 의해 제거되거나 또는 챔버 세정 단계 도중에 DPA 플라즈마를 형성함으로써 제거되지 않은 유기 중합체의 형성을 초래할 수 있다. 그 대신, 본 발명자들은 유기 물질이 불소 에칭에 대해 저항성이 있음을 발견하였다.
본 발명은 탄소-도프된 산화실리콘 또는 다른 형태의 필름을 적층하는데 유기실란을 사용하는 증착공정에 있어서 앞라인을 깨끗하게 유지하고 상기 앞라인에 연결된 DPA 내에 유기질 폴리머 물질이 축적되는 것을 방지 또는 적어도 최소화하는 방법을 제공하고자 하는 것이다.
도 1은 본 발명의 방법을 실시할 수 있는 간단한 화학 기상 증착 장치의 한 실시예의 사시도.
도 2a 내지 2c는 본 발명의 방법을 실행하도록 기판 처리 챔버로부터 나온 배기 스트림을 수용하기 위해서 연결될 수 있는 예시적인 DPA 장치를 도시하는 다이어그램으로서, 도 2a는 예시적인 DPA의 사시도이고, 도 2b는 도 2a에 도시한 DPA의 전면도이며, 도 2c는 도 2a 및 도 2b에 도시한 DPA의 전기 배선도.
도 3은 본 발명의 방법의 일 실시예를 도시하는 플루우챠트.
도 4는 도 3에 도시한 증착 단계(205)의 한 실시예를 도시하는 플루우챠트.
도 5는 도 3에 도시한 챔버 세척 단계(220)의 한 실시예를 도시하는 플루우챠트.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 화학증착 시스템 15 : 진공 챔버
20 : 가스 분배 시스템 21 : 가스 분배판
25 : 진공 시스템 26 : 히터
30 : 원격 플라즈마 시스템 35 : 제어 시스템
40 : 채널 47 : 가스 도관
50 : 프로세서 55, 70 : 메모리
60 : 배기라인 63 : 드로틀밸브 시스템
100 : DPA 장치 114 : 커플링 기구
132 : 핑거
본 발명의 이러한 목적은 공정을 명확히 수행하면서 달성될 수 있다. 본원 발명의 바람직한 실시예에서, 본 발명의 작동과정은 미립자 물질이 앞라인 내부에 축적되지 않도록 하는 부가적인 처리 시간을 전혀 취하지 않는다. 또한, 몇몇 실시예에서, 본 발명은 부가적인 가스 및/또는 소비성 부품을 필요로하지 않는다.
일실시예에서, 본 발명의 방법은 산소를 포함한 적층 가스가 챔버 내부로 유동하는 한편 탄소 도핑 산화실리콘 층의 적층 공정 동안에 DPA 내부에 플라즈마를 형성함으로써 유기 물질/유기 중합체의 축적 문제를 해소한다. 챔버로부터 DPA로 배출되는 적층 가스로부터의 산소는 플라즈마 조건 하에서, DPA 내부에 수집된 잔류물 또는 미립자성 물질로부터의 탄소 원자와 쉽게 반응하여, 다른 휘발성 산물들 중에 일산화탄소(CO), 이산화탄소(CO2), 수증기(H2O)를 형성한다. DPA로 배출된 산소는 비반응 오존 또는 분자 산소, 산소 이온, 산소 함유 반응 부산물 및/또는 이와 유사한 것일 수 있고, 이는 챔버 세정 공정 중에 챔버로부터 배출된다.
이 실시예는 또한 챔버 세정 공정 도중에 DPA를 활성화시키고(즉, DPA 내부에 플라즈마를 형성하고), 정화 가스가 챔버 및 다양한 챔버 장치 내로 유동하거나 컨디셔닝 단계가 수행되는 시간을 포함한 다른 시간에는 플라즈마 형성 장치를 OFF로 스위칭한다(즉, 상기 장치 내부에서 플라즈마 형성을 중단한다). 이런식으로 세정 공정 도중 챔버로부터 배출된 에칭 가스(예를들어, 불소류)가 플라즈마 상태하에서 DPA 내부에 남아있는 물질과 반응하여, 앞라인을 깨끗하게 유지하고 DPA에 막힘을 초래하는 물질의 축적을 방지한다.
본 발명의 방법의 본 실시예의 한가지 형태는 (i) 유기실레인을 포함하는 적층 가스를 기판 처리챔버 내로 유동시키고, 배기관을 통해 처리 챔버로부터 하류의 플라즈마 장치로 적층 가스의 적어도 일부를 배출하고, 하류 플라즈마 장치 내에 플라즈마를 형성하고, 그 다음 (ii) 적층 가스의 유동을 중단시키고, 플라즈마를 OFF로 변환시키고, 기판 처리 챔버내로 정화 가스를 유동시킴으로써, 기판 처리 챔버 내에 배열된 기판 위로 막을 적층하는 것을 포함한다. 하나 또는 그 이상의 막 적층 단계 이후에, (i) 에칭제를 기판 처리 챔버 내로 유동시키고, 배기관을 통해 기판 처리 챔버로부터 하류 플라즈마 장치로 에칭제를 배출하고, 하류 플라즈마 장치 내에 플라즈마를 형성하고, 그 다음 (ii) 에칭제의 유동을 중지시키고, 플라즈마 형성 장치를 OFF로 변환시키고, 기판 처리 챔버로 정화 가스를 유동시킴으로써 챔버가 세정되어 챔버의 내측 표면으로부터 박막 적층을 제거한다.
본 발명의 다른 실시예는 산소함유 가스 또는 할로겐함유 가스를 하류 플라즈마 장치 상류의 앞라인으로 또는 하류 플라즈마 장치로 직접 유동시키는 것을 부가하여 DPA 내에서 유기 물질의 붕괴를 용이하게 한다. 이 실시예 중 하나에서, 챔버 세정 단계 도중에 DPA 내에 플라즈마가 형성되고, 적층 단계에서는 그렇지 않다. 이때 산소의 유동 또한 DPA이나 DPA 상류의 앞라인에 직접 부가된다. 따라서, DPA 내의 플라즈마는 산소 및 세정 가스로부터 용해된 능동 종(active species)을 갖는다. 본 실시예의 한가지 형태는 오존 발생기에 의해 발생되고 적층 단계를 위한 챔버로 유동하는 오존의 유동을 챔버 세정 동안에 DPA내로 변경한다.
이 실시예와 다른 실시예에서, 플라즈마는 막 적층 단계 중에는 DPA내에 형성되나 챔버 세정 단계 중에는 형성되지 않는다. 이때, 할로겐 소스의 추가 유동이 가스관을 통해 DPA로 부가되고, 상기 가스관은 DPA에 직접 연결되거나 DPA 상류의 앞라인에 연결된다. 따라서, 적층 단계 도중에 DPA 내의 플라즈마는 막 적층 단계에서 사용된 산소 소스 및 할로겐 소스로부터 용해된 능동 종을 포함한다. 이 실시예의 한가지 형태는 DPA로 NF3을 직접 유동시킨다. 다른 형태는 원격 용해된 NF3를 DPA로 유동시킨다.
본 발명의 이들 및 다른 실시예 및 그 장점과 특징은 하기의 설명과 첨부도면을 참조하여 보다 상세히 기술된다.
I. 서론
본 발명은 기판 처리 챔버의 앞라인으로부터 나온 재료를 세척하는 방법을 개선하는 것이다. 본 방법은 기판 처리 챔버의 하류, 즉 기판 처리 채버의 배기 라인에 연결된 플라즈마 형성 장치를 사용하고, 특정 챔버 작업 중에 플라즈마 형성 장치를 온 오프시켜 장치의 효율을 증가시키고 앞라인내에 증착된 유기물 및 다른 재료를 제거할 수 있게 한다.
본 발명의 방법은 카본 도핑된 산화실리콘(Si-O-C) 증착 프로세스 또는 증착가스내에 유기실란을 사용하는 다른 프로세스내에서 앞라인 또는 DPA의 클로킹(clogging)을 방지한다. 이런 프로세스에서, 유기 폴리머 잔류물 또는 다른 유기물은 할로겐 에칭제가 DPA를 통해서 배기될 때 DPA내의 플라즈마 형성만으로 쉽게 제거되지 않는 DPA 또는 앞라인내에 축적될 수 있다. 본 방법은 특히 하나 이상의 실리콘-카본 결합을 가지는 유기실란을 사용하는 증착 프로세스에 유용하며, 이런 프로세스는 일반적으로 실리콘-카본 결합없이 유기실란을 사용하는 프로세스보다 DPA내에 더 많은 유기 카본 물질을 만든다.
본 발명의 방법은 챔버 배기 라인에 결합된 다양한 DPA 장치중 하나 이상을 가지는 종래 디자인의 기판 처리 챔버에 이용될 수 있다. 여기서 사용된 바와같이, DPA 또는 하류 플라즈마 장치(Downstream Plasma Apparatus)는 챔버의 배기 통로에 삽입될 수 있는 장치이고 증착 입자 및/또는 잔류물을 수집해서 수집된 입자를 장치내에 형성된 플라즈마의 도움으로 휘발물질로 전환시키는데 사용될 수 있다. 용어 DPA는 상술한 미국특허 출원 제 08/741,230 호와 제 09/354,925 호에 기술한 장치만을 가르키는 것은 아니다.
II. 예시적인 반도체 처리 챔버
본 발명의 방법은 플라즈마 형성 장치를 가진 여러 반도체 처리 장치와 연관하여 사용될 수 있다. 이중 한 적합한 장치, 화학 기상 증착 기계는 도 1에 도시되어 있으며, 도 1은 평행판 화학 기상 증착 시스템(10)의 간단한 단면도이다.
도 1은 본 발명의 방법을 실시할 수 있는 예시적인 화학 기상 증착(CVD)의 간단한 다이어그램이다. 이 시스템은 리플루우, 드라이-인(drive-in), 세척, 에칭 및 게터링 프로세스와 같은 여러 다른 프로세스 뿐만아니라 열적 서브-분위기 CVD(SACVD)를 수행하는데 적합하다. 다 단계 프로세스는 또한 챔버로부터 기판을 제거하지 않고 싱글 기판 또는 웨이퍼상에서 수행될 수 있다. 시스템의 주요 부품은 여러개중에서, 가스 전달 시스템(20)으로부터 프로세스 및 다른 가스를 수용하는 진공 챔버(15), 진공 시스템(25), 원격 플라즈마 시스템(30)과 제어 시스템(35)을 포함한다. 이들 및 다른 부품은 아래에 보다 상세히 기술되어 있다.
CVD 장치(10)는 가스 반응 영역(16)을 가진 진공 챔버(15)를 이루는 엔클로저 조립체(37)를 포함한다. 가스 분배판(21)은 정화가스와 같은 반응 가스 및 다른 가스들을 천공홀을 통해서 수직으로 이동가능한 가열기(26)(또한 웨이퍼 지지 페데스탈로 언급됨)상에 놓여 있는 웨이퍼를 향해 분산한다. 가스 분배판(21)과 웨이퍼사이는 가스 반응 영역(16)이다. 가열기(26)는 예를 들어 웨이퍼가 로드 또는 언로드될 수 있는 하부 위치와 점선 13으로 도시한 가스 분배판(21)에 아주 인접한 처리 위치사이로 제어가능하게 이동되거나 또는 에칭 또는 세척 프로세스와 같은 다른 목적을 위해 다른 위치로 제어가능하게 이동될 수 있다. 중앙 보드(도시 생략)는 웨이퍼의 위치 정보를 제공하기 위한 센서를 포함한다.
가열기(26)는 세라믹내에 들어 있는 전기 저항 가열 요소(도시 생략)를 포함한다. 세라믹은 잠재적인 부식 환경으로부터 가열 요소를 보호하고 가열기가 약 800℃까지 상승하도록 허용한다. 예시적인 실시예에서, 진공 챔버(15)에 노출된 가열기(26)의 모든 표면은 산화알루미늄(Al2O3또는 알루미나) 또는 질화알루미늄과 같은, 세라믹 재료로 만들어진다.
반응 및 캐리어 가스는 가스 전달 시스템(20)으로부터 공급선(43)을 통해 가스 혼합 박스(또한 가스 혼합 블록로 도 불림)(44)으로 공급되며, 혼합 박스에서 가스들은 함께 혼합되어 가스 분배판(21)에 전달된다. 가스 전달 시스템(20)는 당업자가 알고 있듯이 다양한 가스 소오스와 챔버(15)에 각 소오스의 선택된 량을 전달하는 적절한 공급선을 포함한다. 일반적으로, 각 가스의 공급선은 관련 라인으로의 가스의 흐름을 자동 또는 수동으로 차단하는데 사용될 수 있는 차단 밸브와, 공급선을 통해서 가스 또는 액체의 흐름을 측정하는 매스 플루우 제어기 또는 다른 형태의 제어기를 포함한다. 시스템(10)에 의해 작동되는 프로세스에 따라서, 약간의 소오스는 실제로 가스보다는 트리메틸실란(TMS) 또는 테트라메틸실란(T4MS)와 같은 액체 가스일 수 있다. 액체 소오스가 사용되면, 가스 전달 시스템은 액체를 기화하기 위해서 액체 분사 시스템 또는 다른 적절한 기계(예, 버블러)를 포함한다. 그리고 나서 액체로부터 나온 기체는 당업자가 알고 있듯이 통상적으로 캐리어 가스와 혼합된다. 가스 전달 시스템은 또한 시스템(10)에서 프로세스를 실행함으로써 오존이 필요할 때 산소분자의 공급으로 오존을 발생하기 위한 오존 발생기를 포함할 수 있다.
가스 혼합 박스(44)는 프로세스 가스 공급선(43)과 세척/에칭 가스 도관(47)에 결합된 이중 입력 혼합 블럭이다. 밸브(46)는 가스 도관(47)으로부터 가스 혼합 블럭(44)까지 가스 또는 플라즈마를 허용 또는 밀봉하도록 작동한다. 가스 도관(37)은 입력 가스를 수용하기 위한 입구(57)을 가진, 일체형 원격 마이크로파 플라즈마 시스템(30)으로부터 가스를 받는다. 증착 처리 동안, 판(21)에 공급된 가스는 웨이퍼 표면을 향해 배기되며(화살표 23으로 도시한 바와 같이), 이 경우 가스는 층상 흐름으로 웨이퍼 표면의 방사방향으로 균일하게 분배될 수 있다.
정화 가스는 가스 분배판(21) 및/또는 입구 포트 또는 튜브(도시 생략)으로부터 엔클로저 조립체(37)를 통해서 진공 챔버(15)로 전달될 수 있다. 챔버(15)의 바닥으로부터 도입된 정화 가스는 입구 포트로부터 상향으로 가열기(26)을 지나서 환형 펌핑 채널(40)까지 흐른다. 진공 시스템(25)은 챔버(15)로부터 배기선(압라인)(60)을 통해서(화살표 24로 도시한 바와 같이) 가스를 펌프하는 진공 펌프(35)를 포함한다. 배기 가스 및 그 함유 미립자는 배기 라인(60)을 통하여 고리형 펌핑 채널(40)으로부터 유출되는 비율은 트로틀 밸브 시스템(63)에 의하여 제어된다.
원격 마이크로파 플라즈마 시스템(30)은 공정 웨이퍼로부터 챔버 세정 또는 에칭 천연 산화물 또는 잔류물와 같은, 선택된 적용분야에 대해 플라즈마를 생성시킬 수 있다. 입력 라인(57)을 경유하여 공급된 전구체로부터 원격 플라즈마 시스템(30)에서 생성된 플라즈마 종류는 분산을 위하여 도관(47)을 경유하여 가스 분배판(20)을 통하여 진공 챔버(15)로 전달된다. 원격 마이크로파 플라즈마 시스템(30)은 챔버를 따라 챔버(15) 위에 배치되는 게이트 밸브(46) 및 가스 혼합 박스(44)로 상승하는 도관(47)을 구비한 챔버(15) 아래 통합적으로 배치 및 장착된다. 세정하은 적용분야에 대한 전구체 가스는 불소, 염소 및/또는 다른 반응 요소를 포함할 수 있다. 원격 마이크로파 플라즈마 시스템(30)은 필름 증착 공정동안 적절한 증착 전구체 가스가 원격 마이크로파 플라즈마 시스템(30)으로 유동하는 증착 CVD 박막에 적용될 수 있다.
증착 챔버(15) 및 배기 통로와 같은 주위 구조체의 벽의 온도는 챔버의 벽의 채널(도시안됨)을 통하여 열 교환 액체를 순환시킴으로써 제어될 수 있다. 열 교환 액체는 원하는 효과에 따라 챔버 벽을 가열 도는 냉각하기 위하여 이용될 수 있다. 예를 들면, 고온 액체는 열 증착 공정동안 평탄한 열 기울기를 유지할 수 있는 반면, 냉각 액체는 본래의 장소에서의 플라즈마 공정동안 시스템으로부터 열을 제거하거나, 챔버의 벽에 증착 제품이 형성되는 것을 제한하기 위하여 이용될 수 있다. 또한 가스 분배 매니폴드(21)는 열 교환 통로(도시안됨)를 가진다. 통상적인 열 교환 유체는 물 기재 에틸렌 글리콜 혼합물, 오일 기재 열 전달 유체, 또는 유사한 유체이다. 이 가열은 "열 교환기"에 의한 가열로서 지칭되며, 바람직하지 않은 반응 제품의 응축을 유익하게 감소시키거나 제거시키며, 가스가 없는 유동 기간 동안 냉각 진공 통로의 벽에 응축되며 공정 챔버내로 도로 이동되는 경우 공정을 오염시킬 수 있는 오염물 및 공정가스의 휘발성 물질의 제거를 개선한다.
시스템 제어기(35)는 증착 시스템의 활동 및 작동 변수를 제어한다. 시스템 제어기(35)는 컴퓨터 프로세서(50) 및 프로세서(50)에 결합된 컴퓨터 판독 메모리(55)를 포함한다. 프로세서(50)는 메모리(70)에 저장된 컴퓨터 프로그램(58)과 같은 시스템 제어 스프트웨어를 실행한다. 메모리(70)는 하드 디스크 드라이브인 것이 바람직하지만 판독 전용 메모리(read-only memory) 또는 플래시 메모리(flash memory)와 같은 다른 종류의 메모리일 수 있다. 또한 시스템 제어기(35)는 플로피 디스크 드라이브(도시안됨)를 포함한다.
상기의 반응기 설명은 주로 도식적인 목적을 위한 것이며, 본 발명의 방법은 플라즈마 강화(PECVD) 장치, 전자 사이클로트론 공진(ECR) 플라즈마 CVD 장치, 유도 결합된 RF 고밀도 플라즈마 CVD 장치, 또는 그밖에 유사한 것과 같은 다른 CVD 장치가 이용될 수 있다.
챔버(15)의 앞 라인(60)에는 DPA 장치(100)가 연결된다. 전술된 바와 같이, DPA(100)는 모아진 미립자가 축척되며 진공 펌프(35)를 방해하지 않도록 챔버(15)로부터 배출된 미립자를 모으며 모아진 미립자를 앞 라인을 통하여 펌핑될 수 있는 휘발성 물질으로 변환한다. 도 2a 내지 2c에는 앞 라인내의 잔류물 및 미립자 축적을 방지하기 위하여 본 발명의 방법이 이용가능한 일 예의 앞 라인 세정 장치의 일 실시예가 도시된다. 도 2a는 도어가 제거된 DPA(100)의 전면 사시도이며, 도 2b는 DPA(도어가 제거된)의 전면 평면도이며, 도 2c는 전기회로로서 DPA(100)를 보여주는 개략적인 다이어그램이다.
도 2a 및 도 2c에 도시된 바와 같이, DPA(100)는 유입구(102) 및 유출구(104)(도 2b 참조)를 포함한다. 유입구(102) 및 유출구(104) 사이에는 한상의 대응하는 알루미늄 전극, 음극(cathode; 108) 및 양극(anode; 110)(도 2a 참조)에 의하여 형성된 유체 도관(106)(가스 통로)이 있다. DPA(100)는 결합 메카니즘(112 및 114)(도 2a)을 통하여 앞 라인(또는 처리 챔버에 직접 연결된다.)에 연결된다. 예를 들면, 일 실시예에서 DPA(100)는 결합 메카니즘(112)에 의하여 챔버 배기구에 직접 연결되며 앞 라인의 시작 위치에서 결합 메카니즘(114)에서 DPA로 연결된다. 기판 처리 챔버로부터 앞 라인으로 가스 및 미립자 물체는 유입구(102)를 통하여 DPA(100)내로 통과한다. 가스는 그때 유출구(104)로부터 배출된다.
제거가능한 알루미늄 도어(도시안됨)는 알루미늄 뒤판(도시안됨)을 따라 가스 통로(106)를 둘러싼다. 알루미늄 도어 및 뒤판은 전극(양극)(110)에 전기적으로 결합된다. 도어 및 뒤판을 따르는 전극(108 및 110)은 DPA(100)내로 배출된 가스가 배출되는 것을 방지하는 둘러싸진 진공 챔버(유체 도관(106))을 형성한다. 도어 및 뒤판은 화살표(120)(도 2(b))에 의하여 표시된 가스 유동 통로 외부로 DPA를 통하여 배출된 가스가 이동되는 것을 방지하는 밀폐를 형성하기 위하여 전극과 접촉하는 세라믹 절연판(도시안됨)을 각각 포함한다.
전극(108 및 110)은 음극 리테이너로서 지칭되는 4개의 절연 플러그(일 실시예에서 세라믹으로 제작된)(122)(도 2a)에 의하여 서로로부터 전기적으로 분리된다. 도면에 도시된 바와 같이, 전극(108 및 110)은 음극 리테이너의 부분을 수용하기 위하여 전극들에 기계가공된 홈을 가진다. 두 개의 음극 리테이너(122)는 DPA의 전면상의 도면에 도시되며 나머지 두개는 DPA의 뒤면상에 유사하게 배치된다. 음극 리테이너(122)는 가스 통로(106)의 전체 폭을 연장하지 않음으로써 통로를 통한 가스 유동을 제지하지 못한다.
DPA에서의 가스 유동은 화살표(120)(도 2(b))에 의하여 표시된 바와 같이 유체 도관(106)을 따른다. 유체 도관(106)은 두개의 거울상 가스 유동 통로를 포함한다. 음극(108)의 돌출된 부분(유동 분리기(124);도 4b 참조)은 유출 가스를 두개의 유동 통로중 하나로 향하게 한다. 가스 유동의 거의 반이 DPA(100)의 왼측면상의 통로를 향하여 전환시키는 반면, 나머지 반은 상기 장치의 우측면을 향하여 전환된다.
유체 도관(106)은 유출가스 스트림에 존재하는 미립자를 수집 및 잡기 위하여 중력상에서 부분적으로 의존하는 미립자 수집 영역(130)을 포함하는 미로/나선형 통로이다. 각각의 미립자 수집 영역(130)은 DPA로부터 미립자를 끌어들이는 유출 가스 유동 통로에도 불구하고 중력에 의하여 "U"형 부분의 바닥 영역내에 미립자가 수집 및 고정되는 가스 통로의 "U"형 부분이다. 가스 유동은 도 2b에 도시된 바와 같이 음극(108) 또는 양극(110)의 돌출 핑거부(132)에 의하여 각각의 "U" 형 부분을 통하여 향한다. 이 미립자 수집 영역(130)은 전체적으로 중력 또는 기계적 트랩으로서 지칭된다.
전극(108 및 110)은 두개의 평행판 플라즈마 발생 시스템 및 정전기적 미립자 수집기를 형성한다. 정전기적 미립자 트랩의 부분으로서, DC 전력은 전극(108)으로 인가되는 반면 전극(110)은 전기적으로 하전된 배출된 미립자 물체를 끌어들이기 위하여 접지된다. 인가된 DC 전력은 하나의 전극상에서 DPA를 통하여 (+)로 하전된 배출 미립자를 끌어들이며 다른 전극상에서 (-)로 하전된 미립자를 끌어들이는 전압장을 형성한다. 접지됨으로써, 전극(110)은 RF 실딩을 위하여 패러데이 케이지(Faraday cage)로서 작용한다. 플라즈마 발생 시스템의 부분으로서, RF 전력은 전극(108)로 인가된다. 적용된 RF 전력은 DPA를 통과하는 유출 가스로부터 플라즈마를 형성하며 중력 트랩 영역(130)에 또는 전극(108 및 110)의 표면을 따라 수집된 미립자 및 잔류물를 에칭한다.
도 2c는 전극(108,110)을 포함하는 전기 회로를 나타내는 도이다. 도 2c에 나타내는 바와 같이, 전극(105)은 두 직류 발전기(140) 및 RF 발전기(142)에 접속되는 한편, 전극(110)은 접지된다. 직류 발전기(140)는 정전 트랩에 필요한 직류 전압을 공급하고, RF 발전기(142)는 플라즈마를 형성하도록 RF 전압을 공급한다. RF 매치 회로(match circuit)(144)는 반사 전력을 최소화하도록 발전기 출력 임피던스를 50Ω에 맞추고, DC/RF 필터(저통과 RC 필터)(146)는 RF 신호 간섭으로부터 직류 전원 공급장치(140)를 분리시킨다.
DPA(100)를 통과 및/또는 DPA(100) 내측에 증착되는 재료의 완전한 반응을 확보하기 위해, 플라즈마 형성 및/또는 유지하기에 충분한 레벨에서 RF 전원 공급장치(예컨대, RF 발전기(142))에 의해 DPA(100)가 구동된다. 일반적으로, 50 내지 2000 와트(Watts) 사이 이상의 전력 레벨이 음극의 표면적 및 원하는 플라즈마의 강도에 따라 이용될 수 있다. DPA(40)를 구동하는 전력 공급장치는 약 50 KHz 내지 약 200 KHz 이상의 주파수 범위에서 작동되며, 바람직하게는 약 50 KHz 내지 약 60 KHz의 범위에서 작동된다. 일반적으로, 저주파수 전력 공급장치는 고주파수 전력 공급장치 보다 구매 및 작동에 있어서 저렴하다. 따라서, 어떤 실시예에서, DPA(40)를 구동하는 전력 공급장치는 325 KHz 이하의 RF 주파수를 제공하도록 설계된다. 그러나, 다른 실시예는 증착 챔버 내측의 전극에도 접속하는 13.576 MHz 전력 공급장치를 이용하여 DPA를 구동한다.
전술한 바와 같이, DPA 내에 배출된 유출 가스로부터 플라즈마를 형성 및/또는 유지하도록 RF 에너지가 전극(56)에 인가된다. 상술한 하나 이상의 기판 공정 단계로부터 DPA 내측에 트랩된 입자 및 잔여물과 플라즈마의 구성 성분이 반응한다. 본 발명의 방법은 기판 공정 시스템(10)이 작동하는 동안에 이러한 플라즈마를 형성하기 위해 선택적으로 RF 에너지 응용 분야에 적용된다. DPA(100)의 타이밍 측면의 제어(예컨대, RF 전력 공급장치(102) 및/또는 직류 전력 공급장치(100)의 온(ON)/오프(OFF) 전환)는 일반적으로 도 1에 나타낸 제어선(65) 상에 보내진 제어 신호의 인가를 통해 프로세서(50)에 의해 실행된다. 도 1에 도시하지는 않았지만, 이러한 제어선은 이러한 구성의 DPA(100)에 접속된다.
Ⅲ. 본 발명에 따른 하류 플라즈마 장치의 작동
본 발명의 방법은 확장된 웨이퍼가 작동한 후에 유기 폴리머 또는 유사한 재료가 DPA 내부에 형성될 수도 있는 다른 박막 및 Si-O-C의 증착 동안 챔버의 전방 라인을 청결하게 유지하도록, 상기한 전형적인 화학 기상 증착 시스템(10) 및 전형적인 DPA(10)와 같은 기판 처리 공정으로 이용될 수 있다. 상술한 바와 같이, 본 발명가는 이러한 Si-O-C 증착 공정이 종래의 불소 에칭 플라즈마를 이용하여 제거하는 것이 어려운 DPA, 또는 전방 라인 내측에 유기체 잔여물을 남길 수도 있다는것을 남길 수도 있다는 것을 발견했다.
도 3은 트리메틸실란(TMS)/오존 탄소가 도핑된 산화 규소 박막 증착 공정에서 기판 처리 챔버를 청결하게 유지하는데 이용된 본 발명의 방법의 일실시예를 나타낸다. 트리메틸실란/오존 박막 증착 공정의 도시는 전형적인 목적만을 위한 것이다. 본 발명은 트리메틸실란보다 유기실란을 이용하는 탄소가 도핑된 산화 규소 박막 공정을 포함하는 여러 상이한 박막 증착에 대한 입자 축적 및 잉여물 형성 방지를 유지하는데 이용될 수 있다. 다른 오르가노실란 공급원의 어떤 실시예는 하나 이상의 탄화 규소 접합을 가지는 공급원을 포함하고, 그 외 메틸실란, 디메틸실란(DMS), 테트라메틸실란(T4MS) 및 페닐메틸실란을 포함한다.
도 3에 나타내는 바와 같이, 우선 기판은 기판 증착 공정(15)으로 이동한다(단계 200). 다음에 증착 가스(TMS, ozone and a helium carrier gas)가 챔버 내에 유입되고 DPA(100)가 가동되어 증착 가스로부터 플라즈마가 형성되고, 동시에 이러한 증착가스 및 반응 생성물이 DPA를 통하여 배출된다(단계 205). 박막 증착이 완성된 후, 기판은 챔버 외부로 이동되고 DPA 내에 있는 플라즈마는 오프상태로 전환된다(단계 210).
챔버가 본래 상태로 정화 되도록 정화 동작을 하는 시간이라면(단계 215), 정화 단계(220)가 시작된다. 다음 기판이 챔버 내로 이동되지 않는다면 증착 공정이 반복된다. 본 발명의 어떤 실시예에서, 모든 기판 증착 단계 이후에 챔버가 정화된다. 따라서, 결정 단계(215)는 이러한 실시예에서 불필요하다.
정화 단계(220)에서 챔버 벽 상에 형성되는 불필요한 증착 재료, 및 챔버(15) 내부 내측에 있는 다른 성분을 제거하기 위해, 불화질소(NF3) 공급원 가스로부터 챔버(15) 내에 비연관 불소 원자(원격 플라즈마 시스템(30)으로부터)를 멀리 유동시킨다. 일 실시예에서, 단계(220) 동안 챔버(15) 내에 유입되는 가스는 원격 플라즈마 시스템(30)을 통하여 흐르는 산소 및 헬륨 분자 플로우를 포함한다. 공정(220) 동안, 에칭/정화된 가스가 챔버(15)로부터 배출된 후 에칭/정화된 가스로부터 DPA 내에 플라즈마가 형성되도록 DPA(100)는 온으로 돌아간다. 단계(220)가 완성된 후 DPA(100)가 오프되는 한편, 단계(200)에서 다음 기판이 챔버(15) 내로 이동된다.
원격 불소 정화(단계 220)가 증착 챔버 내에 형성하는 산화 규소 잉여물을 제거하는데 있어 효과적이지만, 트리메틸실란/오존 공정 동안 DPA 내에 형성될 수 있는 하이드로카본(C-C 및 C-H 혼합물) 및 플로로카본(C-Fx카본)을 포함하는 유기 재료를 제거하는 데에는 완전하게 효과적이지 못하다는 것을 본 발명가는 발견했다. 또한, 본 발명가는, 증착 단계(205) 동안 챔버(15)를 통하여 오존 또는 다른 산소 공급원이 흐르게 되면서 DPA(100)가 온되어, DPA 내에 있는 플라즈마로부터의 산소가 DPA 내측에 형성될 수도 있는 탄소 수소화물 및 다른 탄소 화합물과 반응하게 한다는 것을 발견했다. 이러한 반응의 생성물, CO, CO2및 H2O는 DPA 장치에서 배출되어 펌프(35)를 방해하는 범위 내에 있는 전방 라인을 통하여 펌핑될 수 있는 휘발물이다. 그 후, 단계(220) 동안 DPA 내에 잔존하는 잉여물은 불소(또는 챔버 정화 화학적 성질에 따른 다른 할로겐 성분) 플라즈마이기 쉽다. 증착 단계(205) 및 정화 단계(220) 사이에 DPA(100)를 오프함으로써 전력 및 DPA와 관련된 다른 작동 비용이 절감된다.
하기에 설명하는 바와 같이, DPA(100)의 온 및 오프는 전극(108,110)에 RF 전력을 인가하여 DPA 내측에 플라즈마를 형성함을 나타내는 것이며, 직류 발전기(140)를 이용하여 정전 트랩의 온 또는 오프를 나타내는 것은 아니다. 도 2a 내지 도 2c에 나타낸 바와 같이, DPA 장치(100)는 정전 트랩이 연속하여 온되는 한편 전력이 DPA에 공급되도록 구성된다. 그러나, 도 3에 관련하여 설명한 트리메틸실란/오존 증착 공정에 의해 발생된 입자는 일반적으로 전기적으로 챠지되지 않음을 본 발명가는 발견했다. 따라서, 정전 트랩을 포함하지 않는 다른 DPA 장치는 본 발명과 함께 효과적으로 이용될 수도 있다. 또한, 전술한 바와 같이, 상이한 플라즈마 형성 기술, 예컨대 유도 또는 마이크로 웨이브 에너지를 이용하는 DPA 장치가 채택될 수 있다.
본 발명의 다른 실시예에서, 챔버(15) 내로의 가스 플로우에 근거한 증착 및 챔버 정화 단계(205 및 220) 내에서 DPA(100)가 온 및 오프된다. 도 4는 도 3에 나타낸 증착 단계(205)의 일실시예를 나타내는 플로우 챠트이다. 도 4에서, 증착 단계(205)는 챔버 압력을 80torr의 원하는 압력 레벨까지 상승시키기 위해 DPA(100)가 온되지 않고 산소(5000 sccm) 및 헬륨(8000 sccm) 가스 분자 플로우의 개시로 증착 단계(205)가 시작된다. 원하는 챔버 압력에 도달된 후 DPA(100)는 음극(110)(단계305)에 800W의 RF 전원을 인가하여 작동된다. 필름 증착은 그때 산소 흐름의 희석된 5000 sccm 15%를 산소 흐름에 스위칭하고 증착 가스(단계 310)에 225 sccm TMS의 흐름을 첨가하여 초기화된다. 증착 단계(310)는 원하는 필름 두께에 도달될 때까지 유지되고나서, TMS 흐름은 멈춘다(단계 315). 그리고나서 증착 단계 (205)는 DPA를 끄고, 오존 흐름을 멈추고 헬륨의 흐름이 증착 가스들의 챔버 (15)를 세정하여 완성된다.
도 5는 도 3에 도시된 챔버 세척 단계 (220)의 일 실시예를 설명하는 흐름도이다. 도 5에서, 세척 단계 (220)는 짧은 흐름의 NF3(10 sccm)와 N2(850sccm)를 원격의 마이크로파 플라즈마 시스템 (30) 안으로 넣고 챔버(15)(단계350)로 통하는 것으로 시작한다. 500sccm 헬륨 흐름은 세척 가스에 첨가된 다음, 원격의 플라즈마 시스템(30)에서 플라즈마가 형성되고 700와트의 고주파 전원( 13.56MHz)(단계 355)을 이용하는 DPA (100) 안에서 형성된다. NF3흐름은 950 sccm까지 증가되고 N2흐름은 챔버 세척 단계 (360) 동안 분자 산소의 500 sccm으로 대체된다. 끝점 검출이나 고정 시간에 기초한 챔버 세척 공정의 완성 후에 헬륨과 산소 흐름이 각각, 챔버 (15)를 세정하도록 8000 및 5000 sccm으로 세팅되는 동안, NF3흐름은 정지되고 원격 플라즈마 시스템 (30)의 플라즈마는 스위치 오프되고 DPA (100)은 스위치 오프(단계 365)된다.
몇몇의 실시예들에서 증착 단계 (205)는 다양한 선증착이나 후증착 처리 단계들을 포함할 수 있다. 예컨대, 증착 단계 (205)는 기본적 메타 선들까지 층의 고정을 개선하기 위해 TMS/오존의 증착 전에 기판을 수소 플라즈마에 노출시키는 선증착 처리 단계를 포함할 수 있다. 선택적으로, 또는 첨부하여, 증착 단계 (205)는 증착되는 TMS/오존 층을 밀도화하도록 기판에 질소 플라즈마를 노출시키는 후처리 단계를 포함할 수 있다. DPA (100)가 이러한 단계동안 디바이스 안에서 플라즈마를 형성하도록 켜질 수 있는 반면, 주로 양호한 실시예들은 이러한 시간동안 파워를 유지하기 위해 DPA를 오프된 채로 남긴다. 설험들은 증착 단계 (205) 및 세척 단계 (220) 동안 DPA 안에서 플라즈마를 형성하는 것이 DPA 안에 만들어지는 증착이 DPA를 막거나 그렇지 않으면 그 수행을 감소시키도록 방해하기에 충분하다는 것을 증명하였다. 또한, 증착된 TMS/오존 층이 증착 챔버 안에서 정위치 곡선 단계에 따른다면, 일반적으로 양호한 실시예들은 곡선 단계 동안 DPA를 스위치 오프한다.
본발명의 다른 실시예들은 오직 하나의 증착이나 세척 단계들에서 플라즈마를 형성한다. 이러한 실시예들에서, 첨부된 가스의 흐름은 플라즈마를 형성하는 동안 DPA 안의 고유물질의 절연파괴를 촉진하도록 DPA에 첨가된다. 예컨대, 플라즈마가 필름 증착 단계 동안 DPA에 형성되는 일 실시예에서 그 시간 동안 할로겐 공급원의 여분의 흐름이 DPA에 직접 연결되거나 DPA의 앞 라인의 상류에 연결하는 가스선을 통해 DPA에 첨가된다. 그래서 증착 단계 동안 DPA 안의 플라즈마는 필름증착 단계에서 이용된 할로겐 공급원과 산소 공급원으로부터 분리되는 활성의 species를 포함한다. 이 실시예의 한 변형은 NF3를 직접 DPA에 흐린다. 또다른 변형은 DPA에 NF3를 멀리 분리하여 유동시킨다.
또다른 실시예에서 산소의 흐름은 챔버 세척 단계 동안 DPA나 DPA의 앞 라인의 상류에 직접 첨가된다. 그래서, 세척 단계 동안 DPA 안에 플라즈마가 형성될 때, 플라즈마는 산소와 세척 가스로부터 분리된 활성 종들을 포함한다. 일 실시예의 한 변형에서, DPA에 첨가된 산소는 필름 증착 단계 동안 오존을 발생시키 위해 사용되는 오존 발생기로부터 산소로 희석된 오존의 흐름이다. 이런 오존 발생기들은 전형적으로 안정한 오존의 흐름을 유지하고 사용되지 않는 오존(증착 단계용으로 불필요한 오존)을 제거 유닛에 보내기 위해 오존을 계속하여 생산한다. 이러한 실시예들은 DPA 안의 고유 물질의 효과적 가스들로의 전환을 촉진하기 위해 몇몇이나 모든 이용되지 않는 오존을 DPA에 보낼 수 있다.
DPA에 첨가될 수 있는 산소의 흐름들은 다른 것들중, 분자 산소, 오존 및 아질산 산화물을 포함한다. DPA에 첨가될 수 있는 할로겐 흐름들은 NF3, F3, C2F6, C3F8및 다른 공지된 실리콘 산화물 에칭제들을 포함한다. 특정한 실시예들에서 DPA에 산소 및/또는 할로겐의 추가적인 흐름들을 첨가하고 증착과 세척 단계들 동안 DPA 안에서 플라즈마를 형성하는 것이 또한 가능하다.
도 5에 관하여 도시되고 기술된 가스 흐름 비율은 어플라이드 머티어리얼즈에 의해 제조된 길라필(Gilafill) 챔버에서 처리 가동될 때 최적화되고 200 mm 와이퍼들용으로 준비된다. 기술 분야에서 통상의 기술을 가진 사람은 처리 가스에 다양한 전구 물질 가스들이 도입되는 비율이 부분 챔버에 특별하고 다른 설계 및/또는 양이 사용된다면 다양할 것이라는 것을 인식할 것이다.
본 발명의 적어도 일 실시예를 전부 기술하여도, 본 발명에 따라 진공 선으로부터 특별한 물질을 물질을 제거하는 다른 균등하거나 선택적인 방법들이 기술분야의 기술자들에게는 명백할 것이다. 예컨대, 본발명이 탄소 불순물 실리콘 산화제 증착 공정에 관련하여 주로 기술되는 반면, 발명자들은 증착 가스의 유기실란을 쓰고 앞 라인에서 고유 물질을 형성하는 어떤 증착 공정에도 앞 라인 세척을 개선하기 위해 이용될 수 있다고 믿는다. 이해된 명백한 변화들과 변형들을 따르는 이러한 균등물들과 선택 사항들은 본발명의 사상안에 포함되도록 의도된다.
전술한 바와 같이, 탄소-도프된 산화실리콘 또는 다른 형태의 필름을 적층하는데 유기실란을 사용하는 증착공정에 있어서 앞라인을 깨끗하게 유지하고 상기 앞라인에 연결된 DPA 내에 유기질 폴리머 물질이 축적되는 것을 방지 또는 적어도 최소화하는 방법이 제공된다.

Claims (19)

  1. 기판 처리챔버의 작동방법으로서,
    기판위에 층을 증착시키는 필름 증착단계 중에, 유기실란을 함유하는 증착가스를 상기 처리챔버 내측으로 유입시키는 단계와,
    챔버의 내벽에 증착된 재료를 제거하는 챔버 세정단계 중에, 에칭제를 상기 챔버 내측으로 유입시키는 단계와,
    상기 챔버로부터의 유출 스트림을 수용하도록 유체 연결된 하류 플라즈마 형성장치 내측으로 산소 공급원 및/또는 산소-함유 부산물을 유입시키는 동시에 상기 플라즈마 형성장치내에 플라즈마를 형성하는 단계, 및
    할로겐 공급원 및/또는 할로겐-함유 부산물을 상기 하류 플라즈마 증착장치 내측으로 유입시키는 동시에 상기 장치내에 플라즈마를 형성하는 단계를 포함하는 것을 특징으로 하는 기판 처리챔버의 작동방법.
  2. 제 1 항에 있어서,
    상기 하류 플라즈마 형성장치 내측으로 유입되는 산소 공급원 및/또는 산소-함유 부산물은 상기 필름 증착단계 중에 상기 챔버로부터 배출되며,
    상기 하류 플라즈마 형성장치 내측으로 유입되는 할로겐 공급원 및/또는 할로겐-함유 부산물은 챔버 세정단계 중에 상기 챔버로부터 배출되는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 산소 공급원 및/또는 산소-함유 부산물은 상기 챔버 내측으로 유입되지 않고 상기 하류 플라즈마 형성장치 내측으로 유입되는 분자형 산소, 질소 산화물 또는 오존이며,
    상기 하류 플라즈마 형성장치 내측으로 유입되는 할로겐 공급원 및/또는 할로겐-함유 부산물은 상기 챔버의 세정단계 중에 챔버로부터 배출되는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서,
    상기 산소 공급원 및/또는 산소-함유 부산물은 상기 세정단계 중에, 오존 발생기로부터 생성되고 상기 챔버로부터 전환되어 상기 하류 플라즈마 형성장치의 내측으로 유동하는 오존인 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    상기 하류 플라즈마 형성장치 내측으로 유입되는 산소 공급원 및/또는 산소-함유 부산물은 상기 필름 세정단계 중에 상기 챔버로부터 배출되며, 할로겐 공급원 및/또는 할로겐-함유 부산물은 상기 챔버로 유입됨이 없이 상기 하류 플라즈마 형성장치 내측으로 유입되는 할로겐-함유 가스인 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 증착가스는 탄소, 실리콘 및 산소를 함유하는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 증착가스는 적어도 하나의 실리콘-탄소 결합을 갖는 유기실란 및 오존을 포함하는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 상기 에칭제는 원격 용해가능한 할로겐을 포함하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 상기 에칭제는 원격 용해가능한 3불화 질소 및 산소를 포함하는 것을 특징으로 하는 방법.
  10. 배기라인에 연결된 하류 플라즈마 형성장치를 갖는 기판 처리챔버의 배기라인 내부의 미립자 또는 잔류 축적물의 최소화 방법으로서,
    (가) ①유기실란을 함유하는 증착가스를 상기 기판 처리챔버의 내측으로 유입하고, 상기 처리챔버로부터 배기라인을 통해 상기 하류 플라즈마 형성장치의 내측으로 상기 증착가스의 일부를 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고,
    ②상기 증착가스의 유입을 정지시키고, 상기 하류 플라즈마 형성장치 내부의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써,
    상기 기판 처리챔버내에 배열된 기판 위에 필름을 증착시키는 단계, 및
    (나) ①에칭제를 상기 기판 처리챔버의 내측으로 유입시키고, 상기 에칭제를 상기 기판 처리챔버로부터 배기라인을 통해 하류 플라즈마 형성장치의 내측으로 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고,
    ②에칭제의 유입을 정지시키고, 상기 하류 플라즈마 형성장치내의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써,
    상기 기판 처리챔버의 내측으로부터 필름 증착물을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 증착가스는 탄소, 실리콘 및 산소를 포함하는 것을 특징으로 하는 방법.
  12. 제 10 항에 있어서,
    상기 필름 증착단계와 필름 제거단계 사이에 정위치 경화단계를 더 포함하며, 상기 경화단계 중에는 하류 플라즈마 형성장치내에 플라즈마가 형성되지 않는 것을 특징으로 하는 방법.
  13. 제 10 항에 있어서, 상기 필름 증착단계 이전에 원격 용해가능한 수소 공급원을 상기 기판 처리챔버 내측으로 유입시키는 단계를 더 포함하며, 상기 필름 경화단계 중에는 상기 하류 플라즈마 형성장치내에 플라즈마가 형성되지 않는 것을 특징으로 하는 방법.
  14. 기판 처리챔버로부터 배출된 미립자 및/또는 잔류물을 휘발성 물질로으로 전환시키도록, 상기 챔버에 연결된 하류 플라즈마 형성장치를 갖는 형태의 기판 처리챔버의 작동방법으로서,
    (가) 기판을 기판 처리챔버 내측으로 이송시키는 단계와,
    (나) ①유기실란 및 오존을 함유하는 증착가스를 상기 기판 처리챔버의 내측으로 유입하고, 상기 처리챔버로부터 배기라인을 통해 상기 하류 플라즈마 형성장치의 내측으로 상기 증착가스의 적어도 일부를 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고,
    ②상기 증착가스의 유입을 정지시키고, 상기 하류 플라즈마 형성장치내의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써,
    상기 기판 위에 탄소-도프된 실리콘 산화물 필름을 증착시키는 단계와,
    (다) 상기 기판을 상기 기판 처리챔버로부터 이송시키는 단계, 및
    (라) ①원격 용해가능한 불소를 상기 기판 처리챔버의 내측으로 유입시키고, 상기 불소를 상기 기판 처리챔버로부터 배기라인을 통해 하류 플라즈마 형성장치의 내측으로 배기시키고, 상기 하류 플라즈마 형성장치 내에 플라즈마를 형성하고,
    ②상기 불소의 유입을 정지시키고, 상기 하류 플라즈마 형성장치내의 플라즈마를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써,
    상기 기판 처리챔버의 내측으로부터 필름 증착물을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 유기실란은 적어도 하나의 실리콘-탄소 결합을 갖는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 상기 유기실란은 TMS인 것을 특징으로 하는 방법.
  17. 배기라인에 연결된 하류 플라즈마 형성장치를 갖는 기판 처리챔버의 배기라인 내부의 미립자 또는 잔류 축적물의 최소화 방법으로서,
    (가) 유기실란 및 오존을 함유하는 증착가스를 기판 처리챔버의 내측으로 유입시키고, 상기 증착가스를 상기 처리챔버로부터 배기라인을 통해 상기 플라즈마 형성장치의 내측으로 배기시키고, 상기 플라즈마 형성장치의 내측에 플라즈마를 형성하고, 상기 증착가스의 유입을 정지시키고 상기 플라즈마 형성장치를 오프시킴으로써,상기 기판 처리챔버 내에 배열된 기판위에 필름을 증착시키는 단계와,
    (나) 상기 기판을 상기 챔버로부터 이송하는 단계, 및
    (다) 상기 기판 처리챔버 내측으로 에칭제를 유입시키고, 상기 에칭제를 상기 기판 처리챔버로부터 배기라인을 통해 상기 플라즈마 형성장치의 내측으로 배기시키고, 상기 플라즈마 형성장치 내에 플라즈마를 형성하고, 상기 에칭제의 유입을 정하고 상기 플라즈마 형성장치를 오프시키고, 세정가스를 상기 기판 처리챔버 내측으로 유입시킴으로써, 상기 기판 처리챔버의 내측으로부터 필름 증착물을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 1 항에 있어서, 상기 기판 위에 증착된 층은 탄소-도프된 실리콘 산화물 층인 것을 특징으로 하는 방법.
  19. 제 10 항에 있어서, 상기 기판 위에 증착된 층은 탄소-도프된 실리콘 산화물 층인 것을 특징으로 하는 방법.
KR1020000049198A 1999-08-24 2000-08-24 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법 KR100696030B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/379,834 1999-08-24
US09/379,834 US6255222B1 (en) 1999-08-24 1999-08-24 Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US09/379,834 1999-08-24

Publications (2)

Publication Number Publication Date
KR20010021403A true KR20010021403A (ko) 2001-03-15
KR100696030B1 KR100696030B1 (ko) 2007-03-15

Family

ID=23498902

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000049198A KR100696030B1 (ko) 1999-08-24 2000-08-24 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법

Country Status (4)

Country Link
US (1) US6255222B1 (ko)
EP (1) EP1079000A1 (ko)
JP (1) JP2001140076A (ko)
KR (1) KR100696030B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101246613B1 (ko) * 2012-07-13 2013-03-25 (주)씨엠코리아 공정챔버를 연결하는 배관에 파티클필터가 설치된 원격 플라즈마 시스템

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4459329B2 (ja) * 1999-08-05 2010-04-28 キヤノンアネルバ株式会社 付着膜の除去方法及び除去装置
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
TWI237066B (en) * 2000-12-14 2005-08-01 Mosel Vitelic Inc A method of prevent an etcher from being eroded
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6584987B1 (en) * 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
JP2002280376A (ja) 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP2004536227A (ja) * 2001-07-17 2004-12-02 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 安定性増低濃度ガス、これを含む製品及びその製造方法
US20030017359A1 (en) * 2001-07-17 2003-01-23 American Air Liquide, Inc. Increased stability low concentration gases, products comprising same, and methods of making same
US7832550B2 (en) * 2001-07-17 2010-11-16 American Air Liquide, Inc. Reactive gases with concentrations of increased stability and processes for manufacturing same
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
CN1316246C (zh) 2002-05-29 2007-05-16 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 含酸性气体和基质气体的已减湿组合物、含所述组合物的制品及其制备方法
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6716765B1 (en) * 2002-11-12 2004-04-06 Novellus Systems, Inc. Plasma clean for a semiconductor thin film deposition chamber
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7247561B2 (en) * 2003-12-11 2007-07-24 Micron Technology, Inc. Method of removing residual contaminants from an environment
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
FR2866470B1 (fr) * 2004-02-18 2006-07-21 Atmel Nantes Sa Procede pour la fabrication de circuits integres et dispositif correspondant.
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060182886A1 (en) * 2005-02-15 2006-08-17 Guidotti Emmanuel P Method and system for improved delivery of a precursor vapor to a processing zone
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7293569B2 (en) * 2005-12-13 2007-11-13 Air Liquide Electronics U.S. Lp Alkylsilanes as solvents for low vapor pressure precursors
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR100799735B1 (ko) * 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
KR101198243B1 (ko) * 2007-04-03 2012-11-07 주식회사 원익아이피에스 탄소 함유 박막을 증착하는 박막 증착 장치의 건식 세정방법
US8636019B2 (en) * 2007-04-25 2014-01-28 Edwards Vacuum, Inc. In-situ removal of semiconductor process residues from dry pump surfaces
US20110114114A1 (en) * 2008-07-14 2011-05-19 Ips Ltd. Cleaning method of apparatus for depositing carbon containing film
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US20110017244A1 (en) * 2009-07-27 2011-01-27 Chi-Sang Lau Dry cleaning and surface treatment equipment used for biochip or medical apparatus
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US8747762B2 (en) * 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
US20110195202A1 (en) * 2010-02-11 2011-08-11 Applied Materials, Inc. Oxygen pump purge to prevent reactive powder explosion
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120304930A1 (en) * 2011-06-03 2012-12-06 Gregory Scott Verdict Chamber exhaust in-situ cleaning for processing apparatuses
KR102050496B1 (ko) * 2013-02-21 2019-12-02 주성엔지니어링(주) 반도체 프로세싱 챔버의 세정방법
CN105981135A (zh) * 2014-03-26 2016-09-28 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及记录介质
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10337105B2 (en) 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
KR20200141931A (ko) * 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
DE19601436A1 (de) 1996-01-17 1997-07-24 Siegfried Dr Ing Straemke Verfahren und Vorrichtung zur Oberflächenbehandlung von Werkstücken
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101246613B1 (ko) * 2012-07-13 2013-03-25 (주)씨엠코리아 공정챔버를 연결하는 배관에 파티클필터가 설치된 원격 플라즈마 시스템

Also Published As

Publication number Publication date
KR100696030B1 (ko) 2007-03-15
US6255222B1 (en) 2001-07-03
EP1079000A1 (en) 2001-02-28
JP2001140076A (ja) 2001-05-22

Similar Documents

Publication Publication Date Title
KR100696030B1 (ko) 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법
JP3897382B2 (ja) Cvdシステムの真空ラインのクリーニング方法及び装置
EP0839930B1 (en) Apparatus for vacuum line cleaning in substrate processing equipment
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
TWI427684B (zh) 用於現場基底處理之方法及裝置
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
US7387968B2 (en) Batch photoresist dry strip and ash system and process
KR101046969B1 (ko) Cvd 배기 시스템의 인시튜 세정
JP2002158180A (ja) 基板プロセス・チャンバ内のガスの流れを導く方法
US6354241B1 (en) Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
KR102601706B1 (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
KR20130022433A (ko) 애쉬-후 측벽 힐링
SG187276A1 (en) Post-ash sidewall healing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee