CN111640792A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN111640792A
CN111640792A CN202010466800.8A CN202010466800A CN111640792A CN 111640792 A CN111640792 A CN 111640792A CN 202010466800 A CN202010466800 A CN 202010466800A CN 111640792 A CN111640792 A CN 111640792A
Authority
CN
China
Prior art keywords
metal
silicide
source
semiconductor device
amorphous silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010466800.8A
Other languages
English (en)
Inventor
陈圣文
施侑伸
罗加聘
林彦华
谭伦光
林钰庭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111640792A publication Critical patent/CN111640792A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26593Bombardment with radiation with high-energy radiation producing ion implantation at a temperature lower than room temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明提供了一种半导体器件,该半导体器件包括具有源极/漏极区的晶体管。导电接触件设置在源极/漏极区上方。硅化物元件设置在导电接触件下方。硅化物元件具有无角的截面轮廓。在一些实施例中,硅化物元件可具有近似弧形的截面轮廓,例如,类椭圆形的轮廓。通过注入工艺在源极/漏极区中形成非晶硅区来至少部分地形成硅化物元件。注入工艺可以是冷注入工艺。本发明还提供了具有无角轮廓的接触件硅化物。

Description

半导体器件及其制造方法
本申请是2014年06月03日提交的标题为“具有无角轮廓的接触件硅化物”、专利申请号为201410242305.3的分案申请。
技术领域
本发明一般地涉及半导体技术领域,更具体地,涉及半导体器件及其制造方法。
背景技术
半导体集成电路(IC)工业已经历了快速发展。IC材料和设计中的技术进步已产生了数代IC,其中,每代IC都比上一代具有更小和更复杂的电路。然而,这些进步已增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造过程中的类似发展。在IC的进化过程中,在几何尺寸(即,使用制造工艺可形成的最小部件)减小的同时,功能密度(即,单位芯片面积上的互连器件的数量)通常会增大。
随着半导体工业发展为纳米级技术工艺节点以追求更高的器件密度、更高的性能以及更低的成本,来自制造和设计两方面的挑战已引起多层(或三维)集成器件的发展。例如,随着器件尺寸不断缩小,形成接触孔(或接触件)的常规方法已导致各种问题(诸如,不均匀的硅化物晶粒尺寸、接触电阻太大、漏电流问题等)。这样,半导体器件性能劣化并且缺陷数量可能增加。因此,尽管现存的制造半导体器件的方法通常足以满足其预期的目的,但是它们不是在每个方面完全符合要求。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种半导体器件,包括:晶体管,具有源极/漏极区;导电接触件,设置在所述源极/漏极区上方:以及硅化物元件,设置在所述导电接触件下方,其中,所述硅化物元件具有无角的截面轮廓。
在该半导体器件中,所述硅化物元件具有近似圆形的截面轮廓。
在该半导体器件中,所述硅化物元件的一部分具有近似椭圆形的截面轮廓。
在该半导体器件中,所述硅化物元件是硅化镍。
在该半导体器件中,以所述硅化物元件形成所述导电接触件和所述源极/漏极区之间的界面的方式设置所述硅化物元件。
在该半导体器件中,所述晶体管是高k金属栅极晶体管。
在该半导体器件中,所述半导体器件是20nm技术节点器件。
根据本发明的另一方面,提供了一种半导体器件,包括:硅衬底;栅极结构,设置在所述硅衬底上方;源极/漏极,形成在所述硅衬底中并且与所述栅极结构邻近;以及接触件,设置在所述源极/漏极上方,所述接触件包含金属材料,其中,金属硅化物形成所述接触件和所述源极/漏极之间的界面,并且所述金属硅化物的截面具有无角的形状。
在该半导体器件中,所述金属硅化物的截面具有近似圆形的形状。
在该半导体器件中,所述金属硅化物的一部分的截面具有类椭圆的形状。
在该半导体器件中,所述金属硅化物包含硅化镍。
在该半导体器件中,所述栅极结构包括高k栅极介电层和金属栅电极。
根据本发明的又一方面,提供了一种制造半导体器件的方法,包括:提供衬底,所述衬底具有形成在其中的源极/漏极区和形成在其上方的介电层;在所述介电层中形成开口,其中,所述开口露出所述源极/漏极区;通过所述开口实施注入工艺以在所述源极/漏极区中形成非晶硅部分;在所述开口中沉积金属,所述金属沉积在所述非晶硅部分上;以及对所述金属实施退火以促进所述金属和所述非晶硅部分之间发生反应,从而在所述源极/漏极区中形成金属硅化物。
在该方法中,实施所述注入工艺包括实施冷注入工艺。
在该方法中,在约-60℃至约-100℃范围内的温度下实施所述冷注入工艺。
该方法还包括:在所述退火之后,实施蚀刻工艺以去除所述金属的未反应部分;之后实施另一退火工艺;以及在所述开口中以及在所述金属硅化物上形成导电接触元件。
该方法还包括:在形成所述开口之前,在所述衬底上方形成栅极结构。
在该方法中,形成所述栅极结构包括形成高k栅极介电层以及所述高k栅极介电层上方的金属栅电极。
在该方法中,所述退火包括尖峰退火工艺。
在该方法中,以所述金属硅化物形成为具有无角的截面轮廓的方式实施所述注入工艺和所述退火。
本申请的实施例提供了一种具有无角轮廓的接触件硅化物。
附图说明
当结合附图进行阅读时,通过以下详细描述可以更好地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按照比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图5和图7至图9是根据一些实施例处于各个制造阶段的半导体器件的简化的截面侧视图。
图6A和图6B分别是与示例性常规退火工艺和本发明的尖峰退火工艺的实施例相关联的退火温度分布曲线。
图10A和图10B分别是通过常规工艺所形成的示例性金属硅化物和通过本发明的工艺所形成的金属硅化物的实施例的截面轮廓。
图11是示出Rc跟踪计算与应力电压的实验结果的曲线图。
图12是示出根据一些实施例制造半导体器件的方法的流程图。
具体实施方式
以下公开内容提供了许多不同的实施例或实例以实现所提供的主题的不同特征。以下描述了部件和布置的具体实例以简化本发明。当然,这些仅是实例而并非旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可包括以直接接触的方式形成第一部件和第二部件的实施例,并且也可包括附加部件可形成在第一部件和第二部件之间,使得第一部件和第二部件不直接接触的实施例。此外,本发明在各个实例中可以重复参考标号和/或字母。这种重复是出于简化和清楚的目的,而其本身并不表明所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,诸如“在…之下”、“在…下面”、“下部”、“在…之上”、“上部”等的空间相对术语在此可用于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作过程中的不同方位。装置可以以其他方式进行定向(旋转90度或在其他方位上),并因此在此使用的空间相对描述符可同样地进行相应的解释。
图1至图5和图7至图9是处于各个制造阶段的半导体器件100的局部截面侧视图。半导体器件100可包括集成电路(IC)芯片、片上系统(SoC)或它们的一部分。半导体器件100也可包括各种无源和有源微电子器件,诸如电阻器、电容器、电感器、二极管、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极结型晶体管(BJT)、横向扩散MOS(LDMOS)晶体管、大功率MOS晶体管或其他类型的晶体管。应该理解,为了更好地理解本发明的创造性概念,简化了图1至图5和图7至图9,并且出于简化的原因,可以不明确示出半导体器件100的各个元件。
参照图1,半导体器件100包括衬底110。在示出的实施例中,衬底110是硅衬底,但是应该理解,在可选实施例中,也可使用其他类型的衬底。例如,衬底110也可以是硅锗衬底、碳化硅衬底等。例如,也可通过n型掺杂剂或p型掺杂剂来轻掺杂衬底110。
衬底110可包括各种掺杂区,诸如,晶体管器件的源极/漏极区120和121。源极/漏极区120和121可以是p型衬底110中的n型区,或者可以是n型衬底110中的p型区。源极/漏极区120和121的每个也可包括轻掺杂部分和重掺杂部分,出于简化的原因,没有将它们明确地示出。
栅极结构130形成在衬底110上方。栅极结构130包括栅极介电层140、形成在栅极介电层上方的栅电极150以及设置在栅极介电层140和栅电极150的侧壁上的栅极间隔件160。应该理解,在源极/漏极区120和121形成在衬底中之前,形成栅极介电层140和栅电极150。在一些实施例中,栅极介电层140和栅电极150可用于限定源极/漏极区120和121的轻掺杂部分,而间隔件160和161可用于限定源极/漏极区120和121的重掺杂部分。
在一些实施例中,栅极介电层140包含氧化硅,而栅电极150包含多晶硅。在其他实施例中,也可使用栅极替换工艺以形成高k金属栅极。在那些栅极结构130是高k金属栅极的实施例中,栅极介电层140包含高k介电材料,而栅电极150包含金属材料。高k介电材料是介电常数大于SiO2的介电常数(约为4)的材料。例如,高k介电材料可包括二氧化铪(HfO2),其介电常数在约18至约40的范围内。可选地,高k材料可包括ZrO2、Y2O3、La2O5、Gd2O5、TiO2、Ta2O5、HfErO、HfLaO、HfYO、HfGdO、HfAlO、HfZrO、HfTiO、HfTaO以及SrTiO中的一种或它们的组合。
栅电极150的金属材料可包括功函金属部件和填充金属部件。功函金属部件可以为N型并且包括Ti、Al、Ta、ZrSi2或TaN,或者其可为P型并且包括Mo、Ru、Ir、Pt、PtSi、MoN或WNx。功函金属部件具有与其相关的功函值范围。功函金属部件调节晶体管器件(例如,由栅极结构130以及源极/漏极区120和121形成)的功函,使得实现期望的阈值电压Vt
金属栅电极的填充金属部件用作栅电极的主要导电部分。填充金属部件可包括钨、铝、铜或它们的组合。出于简化的原因,功函金属和填充金属部分没有被单独绘出。
在栅极替换工艺中,伪栅电极(通常由多晶硅制成)可形成在高k电介质上。在形成源极/漏极区120和121之后,可去除伪栅电极并且替换为以上讨论的金属栅电极。这被称作后栅极工艺流程。在一些实施例中,栅极替换工艺也可采用后高k工艺流程,其中,形成伪氧化物电介质以代替高k电介质。伪多晶硅栅电极形成在伪氧化物栅极介电层上。在形成源极/漏极区120和121之后,伪氧化物栅极介电层连同伪多晶硅栅电极一起被去除。然后,可形成高k栅极介电层和金属栅电极以替换去除的伪栅极介电层和伪栅电极。
如图1所示,层间(或层次间)介电(ILD)层170形成在衬底110上方。可通过化学汽相沉积(CVD)、高密度等离子体CVD、旋涂、溅射或其他适合的方法来形成ILD层170。在一些实施例中,ILD层170包括氧化硅。在其他实施例中,ILD层170可包括氮氧化硅、氮化硅或低k材料。ILD层170包围栅极结构130。层180形成在ILD层170上方。层180可以是密封层以保护其下方的各层和部件。层180可包括多个子层,出于简化的原因,没有明确地示出这些子层。
现在参照图2,在层180和ILD层170中形成开口200和201。可通过本领域已知的一种或多种蚀刻工艺或通过其他适合的技术来形成开口200和201。保留开口200和201以在稍后的工艺中形成导电接触件。这些导电接触件建立了与源极/漏极区120和121的电连接。这样,形成开口200和201以露出源极/漏极区120和121的一部分,使得沉积在开口200和201内的导电材料可与源极/漏极区120和121电接触。
现在参照图3,实施注入工艺210。作为注入工艺210的一部分,离子通过开口200和201轰击(bombard)衬底110,以致破坏了衬底110的设置在开口210和201下方的部分中的硅材料的晶体结构。换言之,衬底110的这些部分在实施注入工艺210之后被非晶化,意味着这些部分中的硅材料变为非晶硅。
如图3所示,通过实施离子注入工艺210来形成非晶硅区220和221。非晶硅区220和221分别形成在源极/漏极区120和121的通过开口200和201露出的部分中。在一些实施例中,形成非晶硅区220和221以局部具有弧形的或无角的截面轮廓。例如,可使非晶硅区220和221的底面(以及部分侧面)稍微圆角化。在一些实施例中,非晶硅区可具有大致类似于椭圆形的局部截面轮廓。根据本发明的各方面,如下文更详细地讨论,这种非晶硅区220和221会导致更好地形成硅化物。
在一些实施例中,注入工艺210可以是冷注入(或低温注入)工艺。换言之,在实施注入工艺210时,保持低温。例如,注入工艺210的温度可在约-60℃至约-100℃的范围内。采取该低温范围的一个原因是为了防止自退火发生。更具体地,注入离子的工艺产生热。对于在室温下或更高温度下实施的典型注入工艺,由注入产生的热可为区域220和221中的非晶硅提供充分的能量支持以修复非晶硅的晶体结构,这称为再结晶。这种工艺也可被称为“自退火”工艺。
然而,根据本发明的各方面,注入工艺210的目标之一是形成非晶硅。如果新形成的非晶硅区220和221随后通过前面提及的自退火工艺转换回晶体硅结构,则是不期望的。因此,为了防止自退火发生,将注入工艺210的温度保持在低温。低温剥夺了区域220和221中的非晶硅再结晶所需要的能量支持。换句话说,当将退火温度保持在低温时,单独地由注入工艺所产生的热量不足以引起区域220和221中的非晶硅再结晶。因此,非晶硅结构将保持原样。
在一些实施例中,用于注入工艺210的离子是硅离子。在其他实施例中,用于注入工艺210的离子可以是锗离子、氙离子、碳离子、砷离子或氮离子。在一些实施例中,离子注入工艺210的注入能量在约1keV至约100keV的范围内。
现在参照图4,金属材料250和251通过开口200和201形成在非晶硅区220和221上。在一些实施例中,金属材料250和251包含镍。在各个实施例中,金属材料250和251可通过本领域已知的一种或多种沉积工艺(例如,CVD、物理汽相沉积(PVD)、原子层沉积(ALD)或它们的组合)形成在非晶硅区220和221的表面上。
现在参照图5,实施退火工艺260以促进硅化。更具体地,以金属材料250和251将与下方的非晶硅区220和221发生反应的方式来实施退火工艺260。这种反应导致形成金属硅化物270和271来代替非晶硅区220和221。换言之,区域220和221中的非晶硅通过与金属材料250和251发生反应而转化为金属硅化物,退火工艺260促进了这种反应的发生。在金属材料包含镍的实施例中,金属硅化物270和271是硅化镍(NiSi)。
根据本发明的各个方面,退火工艺260与常规的退火工艺相比,具有独特的温度分布曲线(unique profile)。具体地,退火工艺260是尖峰退火工艺(或包括尖峰温度分布曲线)。参照图6A和图6B,对退火工艺260的该方面进行更详细地解释。
更具体地,图6A示出了示例性常规退火工艺的退火温度分布曲线,而图6B示出了图5中示出的退火工艺260的实施例的退火温度分布曲线。在图6A和图6B中,退火温度分布曲线包括表示时间的X轴以及表示温度的Y轴。图6A中的曲线280表示常规退火工艺的温度如何随着时间而变化,而图6B中的曲线281表示退火工艺260(图5)的实施例的温度如何随着时间而变化。
如图6A所示,曲线280具有相对缓慢的上升时期。即,温度随着时间相对缓慢地升高。在一些实施例中,温度在约15秒至约30秒内(例如,在约20秒内)会达到峰值范围。峰值温度范围可为约250℃至约350℃。一旦温度达到峰值水平290(例如,介于约250℃至约350℃之间),温度在相对很长的时间段内保持不变。例如,在一些实施例中,在约10秒至约50秒的时间段的范围内保持峰值水平。在退火工艺结束之后,温度下降。
作为比较,图6B中的曲线281具有快速上升的时期。在示出的实施例中,温度急剧或快速地上升(即,类尖峰温度分布曲线)。例如,在一些实施例中,温度仅在10秒至100秒之间就可达到峰值温度291。在一些实施例中,峰值温度291在约200℃至约300℃的范围内。一旦达到峰值温度291,其保持很短的持续时间。例如,在一些实施例中,峰值温度291保持的持续时间在约几毫秒至约几秒的范围内。之后,温度下降,但是比常规退火工艺要缓慢很多。
与退火工艺260相关联的类尖峰温度分布曲线的一个原因是它促进了硅化物的均匀的晶粒生长。发明人已发现,常规退火工艺的长退火时间(例如,图6A中保持峰值温度290的长持续时间)作为退火的结果易于导致所形成的金属硅化物晶粒的大尺寸偏差。这可能是不同硅化物晶粒粒子随时间聚集(agglomerate)的结果,并且因此长退火工艺时间促进晶粒粒子的聚集。因为晶粒尺寸的大偏差会导致电流泄漏或可靠性的问题,所以其会降低金属硅化物的性能。
作为比较,退火工艺260的类尖峰温度分布曲线具有短得多的退火持续时间,这减少了硅化物晶粒粒子的聚集。因此,作为退火工艺260的结果,形成的金属硅化物270和271(图5)具有更均匀的晶粒尺寸,从而提高了器件性能并且减少了潜在的缺陷或故障。例如,通过实施本发明的各个工艺,可将金属硅化物晶粒尺寸偏差控制在5nm以内(即,小于或等于)。本发明的相对均匀的硅化物晶粒尺寸有助于防止电流泄漏并且会增大要形成在硅化物上的接触件的应力电压电压容限(stress voltage tolerance)。
现在参照图7,在已实施完退火工艺260之后,实施蚀刻工艺320以去除金属材料250和251的未与其下方的非晶硅发生反应的部分,以形成金属硅化物270和271。在一些实施例中,蚀刻工艺320是选择性蚀刻工艺,并且它将金属材料250和251蚀刻掉,而不蚀刻金属硅化物270和271。
现在参照图8,实施另一退火工艺340以完成金属硅化物270和271的形成。不同于退火工艺260,退火工艺340没有类尖峰温度分布曲线。在一些实施例中,退火工艺340将金属硅化物270和271的状态从较高电阻率状态改变至较低电阻率状态。退火工艺340也可引起保留在衬底110中的任何非晶硅再结晶。
现在参照图9,导电接触件400和401分别在开口200和201中形成在金属硅化物270和271的上方。可通过接触件形成工艺410来形成导电接触件400和401。在一些实施例中,接触件形成工艺410可包括沉积工艺(例如,CVD、PVD、ALD等)以在开口中沉积金属材料(诸如,钨、铜或铝),随后的抛光工艺以平坦化沉积的金属的表面,使得其与层180基本共面。在一些实施例中,也可在沉积金属之前形成势垒层以防止从导电接触件扩散至层170中。
金属硅化物270和271分别用作导电接触件400和401与源极/漏极区120和121之间的电界面。可选地,金属硅化物270和271可被认为是导电接触件400和401自身的一部分。在任何情况下,因为通过金属硅化物270和271建立导电接触件400和401与源极/漏极区之间的电连接,所以期望金属硅化物270和271具有低电阻。可惜的是,形成硅化物的常规方法通常生成高电阻硅化物。
根据本发明的各个方面,金属硅化物270和271具有低电阻和高导电性,这至少部分归因于金属硅化物的尺寸和/或形状。例如,由于在金属硅化物270和271的形成过程中与非晶硅区220和221(图4)发生反应,所以金属硅化物270和271多半呈现非晶硅区220和221的形状或轮廓。如上文所讨论的,非晶硅区220和221可形成为具有部分圆形的或弧形的(例如,类椭圆形的)截面轮廓。这样,金属硅化物270和271也可至少部分呈现这种弧形的或圆形的轮廓。例如,金属硅化物270的表面430可具有圆形的或弧形的截面轮廓,该轮廓可类似于椭圆的一部分。
特别是在更先进的技术节点(诸如,20nm技术节点)中,因为常规金属硅化物可具有类似三角形的形状,所以金属硅化物270和271的无角轮廓是通过本发明的方法所形成的半导体器件100的可见特性之一。例如,现在参照图10A,示出了在20nm技术节点处通过常规工艺形成的示例性金属硅化物450的截面轮廓图。金属硅化物450具有三角形状,其包围相对较小的体积,因此,与较大的电阻相关联。
作为比较,图10B示出了使用包含本发明的工艺的20nm技术节点工艺而形成的金属硅化物270/271的实施例的截面轮廓图。在这种情况下,金属硅化物270/271具有类似于椭圆的近似圆形或弧形的轮廓。此外,这种类椭圆的轮廓允许金属硅化物270/271比金属硅化物450包围各大的体积。换言之,通过本发明的方法所形成的金属硅化物270/271比通过常规工艺形成的金属硅化物450具有大得多的体积(例如,大出多倍)。较大的体积导致较低的电阻和较高的导电性。因此,通过以上讨论的本发明的工艺形成的金属硅化物270/271具有优于常规硅化物的改进的性能(例如,在接触电阻Rc方面)。
本发明也减小了金属硅化物270/271的潜在突出(extrusion)。在常规的制造情况下,通过与晶体硅材料(在源极/漏极区中)发生反应形成最终的金属硅化物。在这些情况下,可能会有横向扩散,这种横向扩散可远达源极/漏极区之间的沟道(即,栅极结构下方的沟道)。这可被称为硅化物突出。当这种情况发生时,会造成短路的情况或引起其他缺陷。作为比较,通过离子注入工艺210形成的非晶硅区220和221(图3)有效地形成了金属硅化物270和271的边界。例如,沉积的金属250和251与非晶硅发生反应比其与晶体硅发生反应更快。因此,在金属与相邻的晶体硅充分发生反应之前,非晶硅区220和221的大部分可通过这种反应被转化为金属硅化物270和271。因此,金属硅化物270和271可形成在通过非晶硅区220和221所限定的人工边界内。因此,大幅减小或防止了不期望的硅化物突出,并且改进了器件性能。
此外,实施离子注入工艺210所用的低温也会导致改进的Ion-Ioff性能。例如,较低的温度可以诱导在硅层和非晶硅层之间包含较少缺陷的完全非晶硅层。完全非晶硅层和较少的缺陷会导致改进的Ion-Ioff性能。
现在参照图11,曲线图470示出了常规硅化物形成和本发明的硅化物形成所获得的实验结果。此外,曲线图470示出了根据本发明的与金属硅化物形成相关联的应力电压容限性能的改进。更具体地,曲线图470是Rc跟踪计算(tailing count)(Y轴)相对应力电压(X轴)的曲线。Rc跟踪表示在接触件不再能耐受应力电压之前,可以将多大的应力电压施加至该导电接触件。根据曲线图470,与各种常规方法相关联的半导体器件在约1.3伏特至约1.6伏特之间开始显示出对应力电压的容限,然而与本发明相关的半导体器件可忍受高达1.8伏特的应力电压。本发明的较大的应力电压容限可归因于更均匀的硅化物晶粒,其由以上参照图5所讨论的独特的尖峰退火工艺260形成。
图12是根据本发明的各个方面制造半导体器件的方法500的流程图。方法500包括步骤510,其中,在衬底中形成源极和漏极区以及在衬底上方形成栅极结构。在一些实施例中,形成栅极结构可包括栅极替换工艺以形成高k金属栅极。例如,可在高k栅极介电层上方形成多晶硅伪栅电极,然后在形成源极和漏极区之后,可用金属栅极来替换伪多晶硅栅电极。
方法500可包括步骤515,其中,在衬底上方和栅极结构上方形成介电层。因为金属栅电极形成在通过去除伪栅电极而形成的介电层的开口内,所以在栅极替换工艺用于形成高k金属栅极的实施例中,可以在形成伪栅电极之后,但在形成金属栅电极之前,形成介电层。
方法500可包括步骤520,其中,在介电层中形成开口。形成开口以露出源极/漏极的一部分。方法500可包括步骤525,其中,通过开口实施注入工艺以在源极/漏极区中形成非晶硅部分。在一些实施例中,注入工艺是冷注入工艺,其可在约-60℃至约-100℃范围内的温度下实施。
方法500可包括步骤530,其中,在开口中沉积金属。将金属沉积在非晶硅部分上。在一些实施例中,金属包含镍。
方法500可包括步骤535,其中,对金属进行退火以促使金属和非晶硅部分之间发生反应。这种反应在源极/漏极区中形成金属硅化物。在一些实施例中,步骤535中所实施的退火工艺具有尖峰温度分布曲线(或为尖峰退火工艺)。例如,退火工艺可具有如图6B所示的急剧的温度上升。在一些实施例中,在约200℃至约300℃范围内的温度下实施退火工艺。
方法500可包括步骤540,其中,在形成金属硅化物之后,实施蚀刻工艺以去除金属的未反应部分。在一些实施例中,蚀刻工艺是选择性蚀刻工艺,使得可将金属蚀刻掉而金属硅化物保留原样。
方法500可包括步骤545,其中,在去除金属的未反应部分后,实施进一步的退火工艺。不同于在步骤535中实施的退火工艺,步骤545中的进一步的退火工艺不必具有尖峰温度分布曲线。
方法500可包括步骤550,其中,在实施进一步的退火工艺之后,在开口中以及金属硅化物上形成导电接触元件。
应该理解,可在以上所讨论的步骤510至步骤550之前、期间或之后实施附加工艺步骤以完成半导体器件的制造。例如,方法500可包括附加工艺以在接触件上方以及栅极结构上方形成互连结构。互连结构可包括通过通孔互连的多个金属层。方法500也可包括附加的封装、测试以及切割工艺,出于简化的原因,在此不讨论这些工艺。
本发明的实施例提供了优于现有技术的有益效果,但是应该理解,其他实施例可提供不同的有益效果,不是所有的有益效果都必须在此进行讨论,并且不是所有实施例都要求特定的有益效果。一个有益效果是通过使用在衬底中形成非晶硅的离子注入工艺,形成金属硅化物的有效的边界以减小以其他方式导致潜在的缺陷的硅化物突出。另一个有益效果是非晶硅允许金属硅化物的体积更大,从而减小了接触电阻。另一个有益效果是低注入温度使再结晶最小化,从而保持了金属硅化物的体积并且也改进了Ion-Ioff性能。又一个有益效果是第一退火工艺的独特的退火温度分布曲线减少了硅化物晶粒的聚集,从而与常规方法相比,造成更均匀的硅化物晶粒生长。更均匀的晶粒生长使得电流泄漏减少并且应力电压容限更好。
本发明的一些实施例涉及一种半导体器件。该半导体器件包括具有源极/漏极区的晶体管。导电接触件设置在源极/漏极区上方。硅化物元件设置在导电接触件下方。硅化物元件具有无角的截面轮廓。
本发明的一些实施例涉及一种半导体器件。该半导体器件包括硅衬底。栅极结构设置在硅衬底上方。源极/漏极形成在硅衬底中并且与栅极结构邻近。接触件设置在源极/漏极上方。接触件包含金属材料。金属硅化物形成接触件和源极/漏极之间的界面。在截面侧视图中,金属硅化物具有无角的形状。
本发明的一些实施例涉及一种制造半导体器件的方法。提供衬底。该衬底具有形成在其中的源极/漏极区以及形成在其上方的介电层。在介电层中形成开口。开口露出源极/漏极区。通过开口实施注入工艺以在源极/漏极中形成非晶硅部分。将金属沉积在开口中。将该金属沉积在非晶硅部分上。对金属进行退火以促进金属和非晶硅部分之间发生反应,从而在源极/漏极区中形成金属硅化物。
本发明的一些实施例涉及一种制造半导体器件的方法。提供衬底。该衬底具有形成在其中的源极/漏极区以及形成在其上方的介电层。在介电层中形成开口。开口露出源极/漏极区。将金属在开口中沉积在衬底上。实施退火工艺以使金属与衬底的设置在金属下方的部分发生反应。金属与衬底的这部分发生的反应在源极/漏极区中形成金属硅化物。退火工艺具有尖峰温度分布曲线。
本发明的一些实施例涉及一种制造半导体器件的方法。提供衬底。该衬底具有形成在其中的源极/漏极区以及形成在其上方的介电层。在介电层中蚀刻接触孔以露出源极/漏极区的一部分。在通过开口而露出的源极/漏极区上形成金属材料。实施第一退火工艺以促进金属材料与源极/漏极区的设置在金属材料下方的部分之间发生反应,从而在衬底中形成金属硅化物,其中,第一退火工艺是尖峰退火工艺。在实施第一退火工艺之后,去除金属材料的剩余部分。之后,实施第二退火工艺。之后,在接触孔中以及金属硅化物上形成接触件。
本发明的一些实施例涉及一种制造半导体器件的方法。提供衬底。该衬底具有形成在其中的源极/漏极区以及形成在其上方的介电层。在介电层中形成开口以露出源极/漏极区的一部分。在通过开口露出的源极/漏极区上形成镍材料。实施第一退火工艺以促进镍材料与源极/漏极区的设置在镍材料下方的部分发生反应,从而在衬底中形成硅化镍。第一退火工艺具有急剧的温度上升并且在约200℃至约300℃范围内的退火温度下实施。在实施第一退火工艺之后,去除镍材料的剩余部分。之后,实施第二退火工艺。之后,在开口中形成导电接触件。导电接触件形成在硅化镍上。
以上概括了几个实施例的特征,使得本领域的技术人员可更好地理解本发明的各个方面。本领域的技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改实施与在此所介绍的实施例相同的目的和/或实现相同有益效果的其他工艺和结构。本领域的技术人员也应该意识到,这种等同构造没有背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此可做出各种修改、替代和变化。

Claims (10)

1.一种半导体器件,包括:
晶体管,具有源极/漏极区;
导电接触件,设置在所述源极/漏极区上方:以及
硅化物元件,设置在所述导电接触件下方,其中,所述硅化物元件具有无角的截面轮廓,其中,所述硅化物元件的晶粒尺寸偏差控制在5nm以内。
2.根据权利要求1所述的半导体器件,其中,所述硅化物元件具有近似圆形的截面轮廓。
3.根据权利要求2所述的半导体器件,其中,所述硅化物元件的一部分具有近似椭圆形的截面轮廓。
4.根据权利要求1所述的半导体器件,其中,所述硅化物元件是硅化镍。
5.根据权利要求1所述的半导体器件,其中,以所述硅化物元件形成所述导电接触件和所述源极/漏极区之间的界面的方式设置所述硅化物元件。
6.根据权利要求1所述的半导体器件,其中,所述晶体管是高k金属栅极晶体管。
7.根据权利要求1所述的半导体器件,其中,所述半导体器件是20nm技术节点器件。
8.一种半导体器件,包括:
硅衬底;
栅极结构,设置在所述硅衬底上方;
源极/漏极,形成在所述硅衬底中并且与所述栅极结构邻近;以及
接触件,设置在所述源极/漏极上方,所述接触件包含金属材料,其中,金属硅化物形成所述接触件和所述源极/漏极之间的界面,并且所述金属硅化物的截面具有无角的形状,其中,所述金属硅化物形成在所述硅衬底的上表面之下,并且在截面图中,所述金属硅化物的侧壁与所述接触件的侧壁齐平。
9.根据权利要求8所述的半导体器件,其中,所述金属硅化物的截面的底面具有近似圆形的形状。
10.一种制造半导体器件的方法,包括:
提供衬底,所述衬底具有形成在其中的源极/漏极区和形成在其上方的介电层;
在所述介电层中形成开口,其中,所述开口露出所述源极/漏极区;
通过所述开口实施注入工艺以在所述源极/漏极区中形成非晶硅部分;
在所述开口中沉积金属,所述金属沉积在所述非晶硅部分上;以及
对所述金属实施退火以促进所述金属和所述非晶硅部分之间发生反应,从而在所述源极/漏极区中形成金属硅化物,所述金属硅化物形成在所述衬底的上表面之下并且呈现所述非晶硅部分的形状或轮廓。
CN202010466800.8A 2014-03-13 2014-06-03 半导体器件及其制造方法 Pending CN111640792A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/209,374 2014-03-13
US14/209,374 US10032876B2 (en) 2014-03-13 2014-03-13 Contact silicide having a non-angular profile
CN201410242305.3A CN104916687A (zh) 2014-03-13 2014-06-03 具有无角轮廓的接触件硅化物

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410242305.3A Division CN104916687A (zh) 2014-03-13 2014-06-03 具有无角轮廓的接触件硅化物

Publications (1)

Publication Number Publication Date
CN111640792A true CN111640792A (zh) 2020-09-08

Family

ID=54069816

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410242305.3A Pending CN104916687A (zh) 2014-03-13 2014-06-03 具有无角轮廓的接触件硅化物
CN202010466800.8A Pending CN111640792A (zh) 2014-03-13 2014-06-03 半导体器件及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410242305.3A Pending CN104916687A (zh) 2014-03-13 2014-06-03 具有无角轮廓的接触件硅化物

Country Status (3)

Country Link
US (2) US10032876B2 (zh)
CN (2) CN104916687A (zh)
TW (1) TWI622087B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102274771B1 (ko) * 2014-03-10 2021-07-09 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
CN106158748B (zh) 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11094795B2 (en) * 2018-11-20 2021-08-17 Nanya Technology Corporation Semiconductor device and method for manufacturing the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777275B1 (en) * 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
CN1685520A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有镍锗硅化物栅极的mosfet及其形成方法
US20080079095A1 (en) * 2006-09-30 2008-04-03 Semiconductor Manufacturing International (Shanghai) Corporation Metal oxide semiconductor device and method for manufacturing the same
CN102074479A (zh) * 2009-11-24 2011-05-25 中国科学院微电子研究所 半导体器件及其制造方法
US20120068180A1 (en) * 2008-11-03 2012-03-22 Rishabh Mehandru Methods of forming low interface resistance contacts and structures formed thereby
US20120208333A1 (en) * 2011-02-14 2012-08-16 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20130071981A1 (en) * 2011-09-21 2013-03-21 United Microelectronics Corporation Fabricating method of semiconductor elements

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885896A (en) 1996-07-08 1999-03-23 Micron Technology, Inc. Using implants to lower anneal temperatures
TW400579B (en) 1997-03-24 2000-08-01 United Microelectronics Corp Method for manufacturing semiconductor device with titanium nitride
US6518176B2 (en) 1998-06-05 2003-02-11 Ted Guo Method of selective formation of a barrier layer for a contact level via
US6605513B2 (en) 2000-12-06 2003-08-12 Advanced Micro Devices, Inc. Method of forming nickel silicide using a one-step rapid thermal anneal process and backend processing
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7049702B2 (en) 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US6849527B1 (en) * 2003-10-14 2005-02-01 Advanced Micro Devices Strained silicon MOSFET having improved carrier mobility, strained silicon CMOS device, and methods of their formation
US20050124128A1 (en) 2003-12-08 2005-06-09 Kim Hag D. Methods for manufacturing semiconductor device
US7207339B2 (en) 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
CN100536089C (zh) 2003-12-30 2009-09-02 中芯国际集成电路制造(上海)有限公司 晶圆快速冷却退火的方法和装置
US6965133B2 (en) 2004-03-13 2005-11-15 International Business Machines Corporation Method of base formation in a BiCMOS process
JP4560814B2 (ja) 2004-06-17 2010-10-13 エルピーダメモリ株式会社 半導体装置の製造方法
US7335595B2 (en) 2004-07-16 2008-02-26 Texas Instruments Incorporated Silicide formation using a low temperature anneal process
TWI274402B (en) 2005-06-17 2007-02-21 Powerchip Semiconductor Corp Non-volatile memory and fabricating method thereof
US20070141798A1 (en) 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US7398693B2 (en) 2006-03-30 2008-07-15 Applied Materials, Inc. Adaptive control method for rapid thermal processing of a substrate
DE102006040764B4 (de) 2006-08-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem lokal vorgesehenem Metallsilizidgebiet in Kontaktbereichen und Herstellung desselben
US7861406B2 (en) 2007-03-29 2011-01-04 Intel Corporation Method of forming CMOS transistors with dual-metal silicide formed through the contact openings
US7897513B2 (en) 2007-06-28 2011-03-01 Texas Instruments Incorporated Method for forming a metal silicide
JP5214261B2 (ja) 2008-01-25 2013-06-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
US20090315185A1 (en) 2008-06-20 2009-12-24 Boyan Boyanov Selective electroless metal deposition for dual salicide process
WO2010003928A2 (en) 2008-07-06 2010-01-14 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for doping semiconductor structures and the semiconductor device thereof
US8124506B2 (en) * 2008-08-14 2012-02-28 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
US7968457B2 (en) 2008-08-26 2011-06-28 Intel Corporation Sandwiched metal structure silicidation for enhanced contact
JP5430904B2 (ja) 2008-10-15 2014-03-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP2351383B1 (en) 2008-11-25 2012-09-26 Phonak AG A method for adjusting a hearing device
US9934976B2 (en) 2008-12-18 2018-04-03 Intel Corporation Methods of forming low interface resistance rare earth metal contacts and structures formed thereby
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US7786025B1 (en) * 2009-03-17 2010-08-31 International Business Machines Corporation Activating dopants using multiple consecutive millisecond-range anneals
US7759208B1 (en) * 2009-03-27 2010-07-20 International Business Machines Corporation Low temperature ion implantation for improved silicide contacts
US8012843B2 (en) * 2009-08-07 2011-09-06 Varian Semiconductor Equipment Associates, Inc. Optimized halo or pocket cold implants
KR101669470B1 (ko) 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US8460959B2 (en) 2009-11-06 2013-06-11 Ultratech, Inc. Fast thermal annealing of GaN LEDs
US8592309B2 (en) 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
CN102117750B (zh) * 2009-12-30 2012-08-29 中国科学院微电子研究所 Mosfet结构及其制作方法
JP2011222857A (ja) 2010-04-13 2011-11-04 Renesas Electronics Corp 半導体装置およびその製造方法
US8470700B2 (en) 2010-07-22 2013-06-25 Globalfoundries Singapore Pte. Ltd. Semiconductor device with reduced contact resistance and method of manufacturing thereof
US8586460B2 (en) 2010-09-23 2013-11-19 Varian Semiconductor Equipment Associates, Inc. Controlling laser annealed junction depth by implant modification
US20120112292A1 (en) * 2010-11-05 2012-05-10 International Business Machines Corporation Intermixed silicide for reduction of external resistance in integrated circuit devices
US20120119302A1 (en) * 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
CN102468226B (zh) * 2010-11-18 2014-08-20 中国科学院微电子研究所 一种半导体结构及其制造方法
CN102487014B (zh) 2010-12-03 2014-03-05 中国科学院微电子研究所 一种半导体结构及其制造方法
US8349718B2 (en) 2011-03-24 2013-01-08 Kabushiki Kaisha Toshiba Self-aligned silicide formation on source/drain through contact via
US20120313158A1 (en) 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US8809175B2 (en) 2011-07-15 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of anneal after deposition of gate layers
US8884341B2 (en) 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US20130059434A1 (en) 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US8541303B2 (en) 2011-09-28 2013-09-24 United Microelectronics Corp. Method for fabricating MOS transistor
US9085045B2 (en) 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
CN103107091B (zh) 2011-11-15 2016-06-22 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103137475B (zh) * 2011-11-23 2015-09-16 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103137488B (zh) 2011-12-01 2015-09-30 中国科学院微电子研究所 半导体器件及其制造方法
US8723266B2 (en) 2011-12-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Pinch-off control of gate edge dislocation
US9196704B2 (en) * 2011-12-19 2015-11-24 Intel Corporation Selective laser annealing process for buried regions in a MOS device
US8981565B2 (en) * 2012-03-23 2015-03-17 International Business Machines Corporation Techniques to form uniform and stable silicide
US9111905B2 (en) * 2012-03-29 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
FR2990295B1 (fr) * 2012-05-04 2016-11-25 St Microelectronics Sa Procede de formation de contacts de grille, de source et de drain sur un transistor mos
KR101952119B1 (ko) * 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
CN103545188B (zh) 2012-07-13 2017-03-08 中国科学院微电子研究所 半导体器件制造方法
JP2014099541A (ja) 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
KR101876305B1 (ko) 2013-01-04 2018-07-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
US8969946B2 (en) 2013-03-12 2015-03-03 Macronix International Co., Ltd. Semiconductor device and methods of manufacturing
US8956885B2 (en) 2013-05-28 2015-02-17 Globalfoundries Inc. Method and process to reduce stress based overlay error
US9159667B2 (en) * 2013-07-26 2015-10-13 Globalfoundries Inc. Methods of forming an e-fuse for an integrated circuit product and the resulting e-fuse structure
US9129842B2 (en) 2014-01-17 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of silicide contacts in semiconductor devices
US9165838B2 (en) 2014-02-26 2015-10-20 Taiwan Semiconductor Manufacturing Company Limited Methods of forming low resistance contacts
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US9397181B2 (en) 2014-03-19 2016-07-19 International Business Machines Corporation Diffusion-controlled oxygen depletion of semiconductor contact interface
US9614079B2 (en) 2014-04-04 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with ultra-high dielectric constants and methods of forming the same
US9805935B2 (en) * 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
US10453935B2 (en) * 2017-04-20 2019-10-22 International Business Machines Corporation Thermally stable salicide formation for salicide first contacts
US10269800B2 (en) * 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777275B1 (en) * 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
CN1685520A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有镍锗硅化物栅极的mosfet及其形成方法
US20080079095A1 (en) * 2006-09-30 2008-04-03 Semiconductor Manufacturing International (Shanghai) Corporation Metal oxide semiconductor device and method for manufacturing the same
US20120068180A1 (en) * 2008-11-03 2012-03-22 Rishabh Mehandru Methods of forming low interface resistance contacts and structures formed thereby
CN102074479A (zh) * 2009-11-24 2011-05-25 中国科学院微电子研究所 半导体器件及其制造方法
US20110260264A1 (en) * 2009-11-24 2011-10-27 Zhijiong Luo Semiconductor device and method of fabricating the same
US20120208333A1 (en) * 2011-02-14 2012-08-16 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20130071981A1 (en) * 2011-09-21 2013-03-21 United Microelectronics Corporation Fabricating method of semiconductor elements

Also Published As

Publication number Publication date
US20180350924A1 (en) 2018-12-06
US20150263109A1 (en) 2015-09-17
TWI622087B (zh) 2018-04-21
US10032876B2 (en) 2018-07-24
US10522631B2 (en) 2019-12-31
TW201539555A (zh) 2015-10-16
CN104916687A (zh) 2015-09-16

Similar Documents

Publication Publication Date Title
US20150372099A1 (en) Contact silicide formation using a spike annealing process
US10522631B2 (en) Contact silicide having a non-angular profile
US10276399B2 (en) FinFET doping methods and structures thereof
CN102881575B (zh) 栅极层沉积之后的退火方法
JP4722448B2 (ja) 半導体の接続領域の接触抵抗を低減する方法
US6849516B2 (en) Methods of forming drain/source extension structures of a field effect transistor using a doped high-k dielectric layer
US7737009B2 (en) Method of implanting a non-dopant atom into a semiconductor device
US20120018848A1 (en) High surface dopant concentration semiconductor device and method of fabricating
US11081563B2 (en) Formation of silicide contacts in semiconductor devices
US10872970B2 (en) Source and drain formation technique for fin-like field effect transistor
US9496367B2 (en) Mechanism for forming metal gate structure
JP5001295B2 (ja) 半導体デバイス用の自己整合ショットキー接合の形成方法
TWI398912B (zh) 製造半導體元件的方法與半導體元件
KR100755675B1 (ko) 실리사이드화된 게이트의 형성 방법
US9934975B2 (en) N-type MOSFET and method for manufacturing the same
US9831123B2 (en) Methods of forming MIS contact structures on transistor devices
US20040087121A1 (en) Method of forming a nickel silicide region in a doped silicon-containing semiconductor area
US9613855B1 (en) Methods of forming MIS contact structures on transistor devices in CMOS applications
US20050098818A1 (en) Drain/source extension structure of a field effect transistor including doped high-k sidewall spacers
CN107104051B (zh) 半导体元件以及其制作方法
TW200303587A (en) Method of forming different silicide portions on different silicon-containing regions in a semiconductor device
JP4761599B2 (ja) 半導体装置
US7985668B1 (en) Method for forming a metal silicide having a lower potential for containing material defects
US20230290638A1 (en) Semiconductor device structure with glue layer and method for forming the same
US7067410B2 (en) Method of forming a metal silicide

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination