CN109427655A - 半导体器件的互连结构及其制造方法 - Google Patents

半导体器件的互连结构及其制造方法 Download PDF

Info

Publication number
CN109427655A
CN109427655A CN201711290392.XA CN201711290392A CN109427655A CN 109427655 A CN109427655 A CN 109427655A CN 201711290392 A CN201711290392 A CN 201711290392A CN 109427655 A CN109427655 A CN 109427655A
Authority
CN
China
Prior art keywords
dielectric layer
layer
conducting wire
dielectric
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711290392.XA
Other languages
English (en)
Other versions
CN109427655B (zh
Inventor
游佳达
李凯璿
陈燕铭
徐志安
杨世海
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427655A publication Critical patent/CN109427655A/zh
Application granted granted Critical
Publication of CN109427655B publication Critical patent/CN109427655B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种器件和形成方法,器件包括:导线,设置在衬底上方;第一介电层,设置在衬底上方并且与导线共面;第二介电层和第三介电层,第二介电层设置在导线上方,第三介电层设置在第一介电层上方;以及通孔,延伸穿过第二介电层并且连接至导线。第二介电层和第三介电层共面,并且第二介电层和第三介电层具有不同的组分。在一些实施例中,在导线上选择性地沉积第二介电层。本发明的实施例还涉及半导体器件的互连结构及其制造方法。

Description

半导体器件的互连结构及其制造方法
技术领域
本发明的实施例涉及半导体器件的互连结构及其制造方法。
背景技术
半导体集成电路(IC)工业已经经历了指数增长。IC材料和设计中的技术进步已经产生了多代IC,其中每一代都具有比前一代更小和更复杂的电路。在IC演化过程中,功能密度(即,每芯片面积的互连器件的数量)普遍增加,而几何尺寸(即,可以使用制造工艺产生的最小的组件(或线))减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例缩小也已经增大了处理和制造IC的复杂度,并且为了实现这些进步,需要IC处理和制造中的类似发展。
例如,多层互连(MLI)用于连接各个器件(晶体管、电阻器、电容器等)以形成IC。在典型的多层互连结构中,导线(例如,铜线)位于堆叠的介电层中并且通过从一层至另一层的通孔连接。该工艺将多个导电部件与上面和下面的层对准。可以通过用光刻(或光刻法)工艺制造的图案限定该对准。有时,光刻工艺之间的覆盖误差可以导致通孔相对于目标导电部件的未对准。未对准的导电部件可以导致与附近的导电部件的意外的桥接(短路),产生IC缺陷;导致下面的层的过度蚀刻,产生IC可靠性问题;或导致导电部件的期望的互连件之间的未对准,从而产生开口的风险。随着IC持续微型化,这种导电部件(例如,通孔-线)未对准问题越来越成为问题。
发明内容
本发明的实施例提供了一种半导体器件,包括:导线,设置在衬底上方;第一介电层,设置在所述衬底上方并且与所述导线共面;第二介电层和第三介电层,所述第二介电层设置在所述导线上方,所述第三介电层设置在所述第一介电层上方,其中,所述第二介电层和所述第三介电层共面,并且所述第二介电层和所述第三介电层具有不同的组分;以及通孔,延伸穿过所述第二介电层并且连接至所述导线。
本发明的另一实施例提供了一种制造半导体器件的方法,包括:在设置在衬底上方的第一介电层中形成导电部件;在所述导电部件上形成第二介电层,并且在所述第一介电层上方形成第三介电层,其中,所述第二介电层和所述第三介电层具有不同的组分;在图案化的第二介电层中蚀刻通孔开口,暴露所述导电部件;以及用导电材料填充所述通孔开口。
本发明的又一实施例提供了一种制造半导体器件的方法,包括:形成多层互连(MLI)结构的第一层,其中,所述第一层包括第一金属线和第一电介质;在所述第一金属线上方形成第二介电层,并且在所述第一电介质上方形成第三介电层;在所述第二介电层中选择性地蚀刻开口以暴露所述第一金属线;在所述开口中形成导电通孔;以及形成所述多层互连结构的第二层,所述第二层包括第二金属线,并且其中,所述导电通孔互连所述第二金属线和所述第一金属线。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个方面的示出制造具有互连件的IC的方法的实施例的流程图。
图2A、图3A、图4A、图5A、图6A、图7A、图8A、图9A和图10A示出了处于图1的方法的各个处理阶段的器件的实施例的立体图;图2B、图3B、图4B、图5B、图6B、图7B、图8B、图9B、图10B和图10C示出了根据一些实施例的处于图1的方法的各个处理阶段的器件的实施例的相应的截面图。
图11示出了根据一些实施例的半导体器件的实施例的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
本发明通常涉及半导体器件。更具体地,涉及用于集成电路(IC)的多层互连结构。然而,应该理解,期望下面的部件和下面的部件之间对准的其他结构也可以从本发明的方面受益。
图1示出了根据本发明的一个或多个方面的制造半导体器件的方法100的流程图。方法100仅是实例,并且不旨在限制本发明,除非权利要求中明确列举的。可以在方法100之前、期间和之后提供额外的操作,并且对于方法的额外的实施例,可以替换、消除或重排描述的一些操作。
方法100开始于框102,其中,提供衬底。示例性图2A示出了半导体器件200的立体图,并且图2B示出了图2A的沿着“A-A’”线的半导体器件200的截面图。参照图2A和图2B的实例,半导体器件200包括衬底202。
在实施例中,衬底202包括硅衬底(例如,晶圆)。可选地,衬底202可以包括其他元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它们的组合。在一些实施例中,衬底202是绝缘体上半导体(SOI)。衬底202包括有源器件,诸如p型场效应晶体管(PFET)、n型FET(NFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管和高频晶体管。晶体管可以是平面晶体管或诸如FinFET的多栅极晶体管。衬底202还可以包括诸如电阻器、电容器和电感器的无源器件。在下面的详细描述中讨论的MLI结构204的部分可以用于互连设置在衬底202上的这些器件的任何一个或多个。
然后方法100进行至框104,其中,在衬底上方形成导线。参照图2A和图2B的实例,示出了导线206。导线206是多层互连(MLI)结构204的部分。MLI是互连的一系列导线和/或通孔或接触结构,其中合适的绝缘材料围绕MLI的部分,MLI为设置在下面的衬底上的一个或多个器件提供互连。应该注意,为了简化的目的,MLI结构204示出为具有导线的单层(以及具有下面讨论的导线的第二层)。然而,在各个实施例中,MLI结构204可以包括导电层的任何数量(例如,包括大于两个)的层,诸如五个、七个或复杂IC中的更多的层。每个导电层可以包括任何数量的金属线。此外,互连结构204可以包括位于金属化层下方的导线(包括示出的导线206)的一个或多个层。此外,导线206通过额外的导线或通孔连接至设置在衬底202上的一个或多个元件或器件。
导线206可以包括多个层,诸如阻挡层、粘合层和/或金属层。在一些实施例中,阻挡层或粘合层包括钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)、钴(Co)和/或其他合适的导电材料。在一些实施例中,铜层设置在阻挡层/粘合层上方。可以用于导线206的其他示例性导电材料包括铝(Al)、钨(W)、钴(Co)、多晶硅和/或其他合适的导体。在实施例中,导线206包括阻挡层(例如,Ta或TaN)和上面的导电材料铜。在实施例中,阻挡层包括一层或多层材料。
在实施例中,导线206形成在绝缘层208中。在一些实施例中,绝缘层208包括低k介电材料。用于绝缘层208的示例性材料包括但不限于正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的硅氧化物(诸如硼磷硅酸盐玻璃(BPSG)、熔融硅酸盐玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))和/或其他合适的介电材料。
可以通过诸如低压CVD(LPCVD)、等离子体增强CVD(PECVD)、可流动CVD(FCVD)的化学气相沉积(CVD)技术或其他合适的沉积技术在衬底202上方沉积绝缘层208。例如,FCVD工艺包括在衬底202上方沉积可流动材料(诸如液体化合物),以及通过诸如热退火或紫外辐射的合适的技术将可流动材料转化成固体材料。然后通过CMP工艺平坦化绝缘层208或使绝缘层208凹进以具有平坦的顶面。在一些实施例中,随后,用一个或多个光刻和蚀刻工艺图案化绝缘层208以在其中形成沟槽。光刻工艺可以包括在绝缘层208上面形成光刻胶(抗蚀剂)层,将光刻胶曝光成图案,实施曝光后烘烤工艺以及显影光刻胶以形成包括光刻胶的掩蔽元件。然后掩蔽元件用于在绝缘层208中蚀刻沟槽。蚀刻工艺可以包括干蚀刻、湿蚀刻和/或其他合适的工艺。此后,可以在蚀刻的沟槽中形成导线206。例如,可以在图案化的绝缘层208上沉积用于形成导线206的阻挡/粘合层和/或金属层。在一些实施例中,可以通过诸如溅射、CVD和电镀或化学镀的一种或多种合适的技术沉积导线206的导电材料。在沉积之后,一种或多种导电材料(例如,阻挡层和金属导体层)可以过填充绝缘层208中的沟槽,并且此后,可以实施CMP工艺以平坦化器件200的顶面,以去除绝缘层208上方的过量的阻挡和金属材料。沟槽中的阻挡和金属材料保留,形成导线206。由于CMP工艺,在一些实施例中,绝缘层208的顶面与导线206的顶面变成基本共面。
然后方法100进行至框106,其中,在金属线上沉积材料的第一层。可以选择性地沉积材料的第一层,使得它基本覆盖金属线。在一种实施例中,图案化材料的第一层以形成基本不延伸超出金属线的末端的部件。换句话说,材料的第一层形成在金属线之上垂直对准的部件。金属线206上方的第一层的对准可以在制造工艺的对准公差内(例如,10%内)。参照图3A和图3B的实例,第一层302设置在衬底202上方并且具体地位于导线206上方并且与导线206对准。第一层302和下面的导线206的垂直对准示出为图3B中的虚线302A。
在实施例中,第一层302选择性地生长在导线206上。选择性生长包括在导线206上生长(或沉积)第一层302,而不在诸如介电层208的周围的层上生长(或沉积)第一层302的材料。在一些实施例中,选择性地生长氮化物材料以形成第一层302。
在实施例中,第一层302的选择性生长包括预处理步骤。在实施例中,预处理步骤包括将化学物引入至器件的表面,包括导线206的顶面和介电层208的表面。化学物的引入在介电层208的表面上提供悬空键。用于预处理步骤的示例性化学物包括诸如稀释的氢氟酸的酸的水溶液(HF和DI水)。用于预处理步骤的示例性化学物也包括气体形式的化学物的混合物,诸如例如氨(NH3)和HF3
在一些实施例中,在预处理之后,第一层302的选择性生长包括处理步骤。处理步骤可以终止在预处理步骤中生成的悬空键。例如,可以实施处理以与介电层208中的悬空键(例如,氧原子)(例如,存在于介电层208(包括上面呈现的示例性组分)的氧化物材料中的氧)生成疏水键。例如,用于预处理步骤的工艺气体可以包括双(三甲基甲硅烷基)胺、六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)等。
在一些实施例中,示例性工艺气体的一种或多种提供适合于通过甲硅烷基化工艺附接至介电层208的悬空键的组分。附接至介电层208的氧原子可以包括C-H键,其可以包括CH3官能团。例如,根据一些实施例,附接的键/材料可以包括Si(CH3)3
由于上面讨论的键合,预处理步骤提供形成在介电层208的顶面上的抑制剂膜210。产生的抑制剂膜210可以非常薄,例如,膜210可以仅包括一些终止键。应该注意,膜210在下面讨论的上面的层402的沉积期间和之后保留在介电层208的顶面上。
在上面讨论的预处理步骤和处理步骤之后,可以实施形成第一层302的材料的选择性生长。根据一些实施例,用于第一层302的材料可以包括诸如氮化硅的介电材料。在一些实施例中,通过将工艺气体引入至导线206和抑制剂膜210的表面来实现选择性生长。工艺气体可以包括诸如SiBr4的含硅前体。在一些实施例中,工艺气体可以用于在介于约300℃和约400℃之间的范围内的温度下实施选择性生长。
应该注意,在一些实施例中,第一层302的选择性生长提供优势,包括第一层302相对于下面的导线206的自对准。该自对准缓解了实施图案化工艺(包括如下讨论的)的需求,该图案化工艺需要第一层302和下面的导线206之间的精确对准。应该注意,第一层302可以选择性地形成在包括示例性导线206的金属化层的每个暴露的金属线上方。
在一些其他实施例中,可以使用诸如低压CVD(LPCVD)、等离子体增强CVD(PECVD)、可流动CVD(FCVD)的化学气相沉积(CVD)技术或其他合适的沉积技术在衬底202上方沉积第一层302的材料。在实施例中,第一层302的材料的沉积为整个衬底202上的毯状或共形沉积。随后,在一些实施例中,然后用一个或多个光刻和蚀刻工艺图案化第一层302的材料以形成位于导线206上方并且与导线206对准的部件,示出为图3A和图3B中的第一层302的部件。将材料的第一层图案化成部件的光刻工艺可以包括在第一层302的材料的毯状沉积上面形成光刻胶(抗蚀剂)层,将光刻胶曝光成图案,实施曝光后烘烤工艺以及显影光刻胶以形成包括光刻胶的掩蔽元件。掩蔽元件可以限定第一层302的部件,使得它覆盖导线206并且与导线206对准。在一些实施例中,然后掩蔽元件用于蚀刻材料,从而从绝缘层208上方去除该材料。蚀刻工艺可以包括干蚀刻、湿蚀刻和/或其他合适的工艺。应该注意,可以在金属化层的每条金属线上方形成第一层302。在一些实施例中,第一层包括位于每条金属线上方的部件,其中上面的通孔连接至该金属线。应该注意,在使用诸如以上讨论的光刻图案化的方法中,不形成抑制剂层210。
第一层302的材料是介电材料。在实施例中,第一层302是氮化硅。用于第一层302的其他示例性介电材料包括SiCN和SiCON。可以使用以上讨论的选择性沉积工艺(例如,预处理、处理和选择性生长)形成氮化硅、SiCN和SiCON的任何组分和/或其他合适的组分。应该注意,第一层302的组分选择为给下面讨论的周围的绝缘层402提供蚀刻选择性。在实施例中,第一层302相对于周围的绝缘层402的蚀刻选择性等于或大于约50%。
第一层302具有导线206之上的高度H。在一些实施例中,高度H由形成第一层302所沉积的材料的厚度限定。在一些实例中,高度H在约5纳米(nm)和50纳米之间。由下面讨论的产生的通孔结构的期望长度(垂直延伸)确定高度H。在一些实例中,第一层302的部件的宽度W约等于导线206的宽度。在一些实例中,宽度W介于约5nm和25nm之间。
然后方法100进行至框108,其中,在衬底上形成绝缘层。如以上参照框106讨论的,绝缘层形成为邻近并且邻接第一层。绝缘层可以邻接第一层的部件的侧壁。参照图4A和图4B的实例,在衬底202上形成绝缘层402。层208和402可以包括相同或不同的介电材料。在一些实施例中,绝缘层402和绝缘层208均为氧化物组分。在一些实施例中,绝缘层402和绝缘层208是相同的组分,例如,相同的氧化物组分。在各个实施例中,绝缘层402包括诸如正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的硅氧化物(诸如硼磷硅酸盐玻璃(BPSG)、熔融硅酸盐玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))的低k介电材料和/或其他合适的介电材料。
可以使用诸如LPCVD、PECVD和FCVD的化学气相沉积(CVD)形成绝缘层402。
然后方法100进行至框110,其中实施诸如化学机械抛光(CMP)工艺的平坦化工艺。如图4A和图4B的实例所示,在一些实施例中,形成绝缘层402,使得它设置在第一层302上方。此后,如框110和图5A与图5B的实例所示,可以回蚀刻绝缘层402以暴露第一层302的顶面,绝缘层402示出为绝缘层402A。在一些实施例中,通过CMP工艺实施回蚀刻。如图5A与图5B的实例所示,绝缘层402A具有与第一层302的顶面共面的顶面。此外,如图所示,绝缘层402A可以邻接第一层302的侧壁。
然后方法100进行至框112,其中,在导线的部分上方的第一层中蚀刻开口。该开口可以暴露下面的导线的顶面。该开口可以由期望的通孔的尺寸限定,如下面讨论的,该通孔随后形成在开口内。参照图6A和图6B的实例,在第一层302中蚀刻开口602。开口602暴露导线206的顶面。开口602也由绝缘层402A和第一层302的侧壁限定。在实施例中,蚀刻是湿蚀刻、干蚀刻、等离子体蚀刻或其他合适的蚀刻技术的一种。在一些实例中,蚀刻是氟基等离子体蚀刻,使用包括CH3F、O2和/或CH4的蚀刻剂气体提供该蚀刻。
如上讨论的,绝缘层402A的组分使得它与第一层302的组分不同。因此,在一些实施例中,在第一层302的组分和周围的绝缘层402A的组分之间提供蚀刻选择性。因此,该蚀刻可以是对第一层302具有选择性的,并且限定为使得产生的开口602与导线206垂直对准,参见由图6B中的虚线602A示出的垂直对准。在一些实施例中,这可以提供开口602和下面的导线206之间的有益的自对准工艺。在一些实例中,第一层302的蚀刻速率比周围的层的蚀刻速率大至少50%。
开口602也可以称为贯通孔。开口602具有宽度W1,宽度W1可以与以上讨论的宽度W基本上相同。在一些实施例中,通过一个或多个光刻和蚀刻工艺(诸如以上讨论的)形成开口602。光刻工艺可以包括在绝缘层402A上面形成光刻胶层,将光刻胶曝光成限定开口602的图案,实施曝光后烘烤工艺,以及显影光刻胶以形成包括光刻胶的掩蔽元件。然后掩蔽元件用于在绝缘层402A内蚀刻开口,直到暴露导线206。蚀刻工艺对如上讨论的第一层302的材料具有选择性。应该注意,在一些实施例中,由于第一层302提供的蚀刻选择性,限定开口602的掩蔽元件的覆盖可以具有更大的对准窗口。例如,由掩蔽元件限定的开口可以向左/右偏移(见图6B)或在光刻胶掩蔽元件中提供比产生的开口602的宽度W1更大的宽度的开口,从而暴露绝缘层402A的部分。这是由于虽然绝缘层402A可以由掩蔽元件暴露,但是由于绝缘层402A和第一层302的组分之间的蚀刻选择性,绝缘层402A的暴露部分不会被蚀刻。因此,某些实施例在限定开口的掩蔽元件和导线206之间的覆盖中提供更大的裕度。
然后方法100进行至框114,其中,用导电材料填充开口以形成通孔。参照图7A和图7B的实例,用导电材料702填充开口602。导电材料702可以包括多个层,包括例如阻挡层、粘合层和上面的导体层。在一些实施例中,导电材料702包括阻挡层,诸如例如钽(Ta)或氮化钽(TaN)。在一些实施例中,导电材料702包括金属导体,诸如铜(Cu)、铝(Al)、钨(W)、钴(Co)或其他合适的金属。可以通过CVD、物理气相沉积(PVD)或ALD技术形成阻挡层;可以通过溅射、CVD或电镀技术形成金属导体。使用如图7A和图7B所示的以上沉积方法,导电材料702的层可以过填充开口602。
然后方法100进行至框116,其中,实施另一平坦化工艺。在一些实施例中,平坦化工艺包括CMP工艺。图8A和图8B的实例示出了在随后的平坦化之后的器件200。具体地,实施平坦化(例如,CMP)工艺以去除在框114中沉积的并且设置在绝缘层402A的顶面上方的过量的导电材料,留下填充先前的开口602的剩余的导电层(例如,阻挡层和金属导体),并且因此提供通孔702A。通孔702A可以包括一个或多个层(例如,阻挡层和金属导体层)。
然后方法100进行至框118,其中,形成另一导线。可以基本上类似于以上参照框104讨论地形成另一导线。参照图9A、图9B、图10A、图10B和图10C的实例,沉积导电材料902以在通孔702A上方导线902A,导线902A与通孔702A交界。绝缘层904设置在绝缘层402A上方并且邻接导线902A。导线902A可以基本类似于导线206。在实施例中,导线902A和导线206包括相同的组分。在一些实施例中,导线902A是导电层或金属化层,其是比导线206的导电层或金属化层更大的一个层级。例如,导线902A可以设置在金属-2上;导线206设置在金属-1上。绝缘层904可以基本类似于以上参照绝缘层402A和/或绝缘层208所讨论的。在实施例中,绝缘层904、绝缘层402A和绝缘层208的两个或多个包括相同的组分。
导线902(并且因此导线902A)可以包括多个层,诸如阻挡层、粘合层和/或金属层。在一些实施例中,阻挡层或粘合层包括钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)、钴(Co)和/或其他合适的导电材料。在一些实施例中,铜层设置在阻挡/粘合层上方。可以用于导线902A的其他示例性导电材料包括铝(Al)、钨(W)、钴(Co)、多晶硅和/或其他合适的导体。在实施例中,导线902A包括阻挡层(例如,Ta或TaN)和上面的铜导线。在实施例中,阻挡层包括材料的一层或多层。
在实施例中,在绝缘层904中形成导线902A。在一些实施例中,绝缘层904包括低k介电材料。用于绝缘层904的示例性材料包括但不限于正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅酸盐玻璃(BPSG)、熔融硅玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))和/或其它合适的介电材料。
可以通过诸如低压CVD(LPCVD)、等离子体增强CVD(PECVD)、可流动CVD(FCVD)的化学气相沉积(CVD)技术或其他合适的沉积技术在衬底202上方沉积绝缘层904。然后通过CMP工艺平坦化绝缘层904或使绝缘层904凹进以具有平坦的顶面。随后,在一些实施例中,然后用一个或多个光刻和蚀刻工艺图案化绝缘层904以在其中形成沟槽。光刻工艺可以包括在绝缘层904上面形成光刻胶(抗蚀剂)层,将光刻胶曝光成图案,实施曝光后烘烤工艺以及显影光刻胶以形成包括光刻胶的掩蔽元件。然后掩蔽元件用于在绝缘层904蚀刻沟槽。蚀刻工艺可以包括干蚀刻、湿蚀刻和/或其他合适的工艺。此后,如图9A和图9B所示,可以在蚀刻的沟槽中形成材料902以形成导线902A。例如,可以在图案化的绝缘层904上沉积用于形成导线902A的阻挡/粘合层和/或金属材料902。在一些实施例中,可以通过诸如溅射、CVD和电镀或化学镀的一种或多种合适的技术沉积导电材料902。在沉积之后,一种或多种导电材料(例如,阻挡层和金属导体层)可以过填充绝缘层904中的沟槽(见图9A、图9B)。此后,可以实施平坦化工艺(CMP工艺)以平坦化器件200的顶面,以去除绝缘层904上方的过量的阻挡和金属材料,见图10A和图10B的实例。沟槽中的阻挡和金属材料保留,形成导线902A。由于平坦化工艺,在一些实施例中,绝缘层904的顶面与导线902A的顶面变成基本共面。
导线206、通孔702A和导线902A提供MLI结构204的示例导电部件。MLI结构204设置在衬底202上方并且连接衬底202中和/或上方的各个有源和/或无源器件以形成包括器件200的IC。在如图所示的实施例中,导线206可以形成第一层金属线(例如,称为金属-1),并且导线902A提供第二层金属线(例如,称为金属-2),其中通孔702A互连金属线。然而,这仅是示例性的,并且在其他实施例中,导线206和902A可以形成MLI结构204的任何金属层。虽然未示出,但是导线206/902A和通孔702A通过MLI结构204的其他下面的层或通过有源和/或无源器件的端子(例如,源极、漏极和栅极接触件)连接至衬底202中的有源和/或无源器件。
应该注意,图10C示出截面B-B’处的导线206或从上面的通孔连接移位。第一层302设置在导线206上方并且由绝缘层904、402A和208围绕。在一些实施例中,层904、402A和208的组分是氧化物,并且第一层302的组分是氮化物。由此,第一层302是与层904、402A和208的一个或多个不同的组分。在一些实施例中,第一层302直接与导线206的顶面交界。
然后方法100进行至框120,其中,可以实施额外的制造工艺。在实施例中,实施额外的后段制程(BOEL)工艺,诸如额外的金属化层和插入的介电层的形成,例如,MLI结构的额外的部件。
以上的一个或多个工艺示出了使用镶嵌工艺形成通孔702A和上面的金属化层902A。也可以使用方法100实施包括双镶嵌工艺的其他工艺。
现在参照图11,示出了可以使用包括方法100的一个或多个步骤制造的器件1100。器件1100包括衬底202,衬底202可以基本上类似于如上参照方法100的框102讨论的。在衬底202上制造有源器件1104。在示例性实施例中,有源器件1104是具有栅极结构1106和源极/漏极结构1108的晶体管。在实施例中,有源器件1104是设置在从衬底202延伸的有源区上的FinFET器件。有源器件1104设置在衬底202的有源区上,隔离部件1110设置为邻近衬底202的有源区。隔离部件1110可以是浅沟槽隔离(STI)部件。
栅极结构1106可以包括栅极介电层和栅电极。应该理解,栅极结构1106可以包括额外的层,诸如界面层、覆盖层、扩散/阻挡层、功函层和其他合适的层。在一些实施例中,栅极介电层是高k介电材料。高k介电材料的实例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料或它们的组合。在一些实施例中,栅极结构包括多晶硅栅电极。在一些实施例中,栅极结构包括金属栅电极。栅电极层可以包括任何合适的材料,诸如多晶硅、铝、钛、钽、钨、钼、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他合适的材料或它们的组合。在一些实施例中,在栅极结构中包括栅极间隔件。栅极间隔件可以是用于偏移随后形成的掺杂区(诸如源极/漏极区)的多层电介质。栅极间隔件还可以用于设计或修改源极/漏极区(结)轮廓。
源极/漏极结构1108可以包括合适的掺杂的半导体材料以提供有源器件1104。在一些实施例中,源极/漏极结构1108是掺杂有n型或p型掺杂剂的外延生长的部件。
接触结构1112可以从栅极结构1106和源极/漏极结构1108的一个或多个延伸。接触结构1112可以是钨或其他合适的导电材料。
多层互连(MLI)结构1114设置在有源器件1104上方并为有源器件1104提供互连。MLI结构1114包括第一金属化层(金属-1)1116、第二金属化层(金属-2)1118、第三金属化层(金属-3)1120和第四金属化层(金属-4)1122。然而,为了示例的目的提供四个金属化层,并且MLI结构1114可以包括任何数量的金属化层。
金属化层1116、1118、1120和/或1122的每个可以包括多层结构,诸如包括衬垫层或阻挡层和上面的金属化层。在一些实施例中,金属化层1116、1118、1120和/或1122包括Ti或Ta或其氮化物的阻挡层和上面的诸如铜的导电材料。在一些实施例中,金属化层1116、1118、1120和/或1122包括诸如钛(Ti)、钽(Ta)、包括Ti和Ta的金属的氮化物、铜(Cu)、铝(Al)、钨(W)、钴(Co)或其他合适的金属的材料。金属化层1116与接触结构1112交界。应该注意,多个层可以插入在金属化层1116和有源器件1104之间,包括层间介电(ILD)层、接触蚀刻停止层(CESL)、提供源极/漏极结构1108或栅极结构1106与上面的接触结构1112之间的接触的硅化物部件和/或本领域已知的其他部件。
通孔结构1124、1126和1128提供相应的金属化层1116、1118、1120和/或1122之间的垂直互连。通孔结构1124、1126和/或1128可以基本类似于以上参照方法100讨论的通孔702A。在一些实施例中,通孔结构1124、1126和1128包括诸如钛(Ti)、钽(Ta)、包括Ti和Ta的金属的氮化物、铜(Cu)、铝(Al)、钨(W)、钴(Co)或其他合适的金属的材料。通孔结构1124、1126和1128也可以是多层部件。
MLI结构1114也包括多个介电或绝缘层,包括称为层间介电(ILD)层的那些。MLI结构1114包括层1130,层1130可以基本类似于以上参照图1的方法100讨论的第一层302。在实施例中,层1130是介电层。在实施例中,层1130具有与MLI结构1114的邻近且共面的ILD层(未示出)不同的组分。层1130可以设置在金属化层1116上,使得它基本垂直对准在金属化层1116上方。例如,层1130的末端边缘可以与金属化层1116的末端边缘基本(垂直)共面。层1130可以延伸金属化层1116的宽度。通孔1124延伸穿过层1130的厚度(或高度)。
MLI结构1114也包括层1132,层1132也可以基本类似于以上参照图1的方法100讨论的第一层302。在实施例中,层1132是介电层。在实施例中,层1132具有与MLI结构1114的邻近且共面的ILD层(未示出)不同的组分。层1132可以设置在金属化层1120上,使得它基本垂直对准在金属化层1120上方。例如,层1132的末端边缘可以与金属化层1120的末端边缘基本(垂直)共面。层1132可以延伸金属化层1120的宽度。通孔1128延伸穿过层1132的厚度(或高度)。
MLI结构1114也包括绝缘层1134A、1134B和1134C。绝缘层1134A、1134B和/或1134C可以基本类似于以上参照图1的方法100描述的绝缘层208、绝缘层402A和/或绝缘层904。绝缘层1134A、1134B和/或1134C可以包括诸如正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的硅氧化物(诸如硼磷硅酸盐玻璃(BPSG)、熔融硅酸盐玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))的低k介电材料和/或其他合适的介电材料。绝缘层1134A、1134B和/或1134C包括与层1130和/或1132不同的组分。具体地,绝缘层1134A、1134B和1134C的组分相对于层1130和/或1132具有蚀刻选择性。在一些实施例中,绝缘层1134A、1134B和1134C的一个或多个是氧化物,而层1130和/或1132是氮化物。应该注意,绝缘层1134A、1134B和1134C仅是示例性的,并且在器件1100中也存在MLI结构1114的额外的ILD层。具体地,如上所述,存在与层1130和1132的每个共面的相应的ILD层(即,不位于诸如线1116的导线上方的金属化层的那些区域中)。
应该注意,在MLI结构1114中的示例性的点处的截面中示出了器件1100。在器件1100的其他截面点处,基本类似于层1130和/或1132的层与通孔1124和1128横向(例如,水平地)共面。类似的,在器件1100的其他截面点处,基本类似于绝缘层1134A、1134B和/或1134C的层与通孔1118、1126和1122横向(例如,水平地)共面。
虽然不旨在限制,本发明的一个或多个实施例为半导体器件及其形成提供了许多益处。例如,本发明的实施例在多层互连结构中的导线上方提供第一层。诸如当由于覆盖误差而存在限定通孔开口的未对准时,第一层限制了周围和/或下面的介电层的不良蚀刻。因此,某些实施例允许扩大的通孔临界尺寸覆盖窗口。如参照方法100所示,第一层的材料的蚀刻选择性(例如,与周围的介电层/绝缘层相比)可以提供能与BEOL金属化工艺集成的自对准工艺。一个或多个这些益处也可以引起改进的器件性能,诸如由于金属化部件(例如,通孔和下面的金属化层)之间的较大的接触面积而引起较小的接触电阻。
在一个示例性方面,本发明针对一种器件,包括:导线,设置在衬底上方;第一介电层,设置在衬底上方并且与导线共面;第二介电层和第三介电层,第二介电层设置在导线上方,第三介电层设置在第一介电层上方;以及通孔,延伸穿过第二介电层并且连接至导线。第二介电层和第三介电层共面,并且第二介电层和第三介电层具有不同的组分。
在实施例中,通孔的第一侧壁与第二介电层交界,并且通孔的第二侧壁与第三介电层交界。在又一实施例中,通孔的底面与导线交界,并且通孔的顶面与另一导线交界。在实施例中,第二介电层是氮化物,并且第三介电层是氧化物。在实施例中,第一介电层和第三介电层具有相同的组分。在实施例中,第二介电层是氮化硅。
在本文呈现的另一更广泛实施例中,一种方法包括:在设置在衬底上方的第一介电层中形成导电部件,以及在导电部件上形成第二介电层,并且在第一介电层上方形成第三介电层。第二介电层和第三介电层具有不同的组分。在图案化的第二介电层中蚀刻通孔开口,从而暴露导电部件。用导电材料填充通孔开口。
在形成第二介电层的方法的一些实施例中,包括在导电部件上选择性生长材料。在一些实施例中,形成第二介电层包括具有硅和氮的第一组分,并且形成第三介电层包括具有硅和氧的第二组分。在又一实施例中,选择性生长材料包括在第一介电层的顶面上形成抑制剂层。在实施例中,在蚀刻通孔开口之前,平坦化第二介电层和第三介电层。在实施例中,第二介电层的末端边缘垂直地对准在导电部件的末端边缘上方。在一些实施例中,填充通孔开口包括沉积阻挡层以及在阻挡层上方沉积导电材料。在实施例中,形成第二介电层包括在导电部件上选择性生长氮化硅。在实施例中,填充通孔开口包括在沉积导电材料之后实施平坦化工艺。
在本文呈现的另一更广泛实施例中,提供了一种方法,包括形成多层互连(MLI)结构的第一层。第一层包括第一金属线和第一电介质。在第一金属线上方形成第二介电层,并且在第一电介质上方形成第三介电层。在第二介电层中选择性地蚀刻开口以暴露第一金属线。在开口中形成导电通孔。然后形成多层互连结构的第二层(包括第二金属线)。导电通孔互连第二金属线和第一金属线。
在又一实施例中,在第二介电层中选择性地蚀刻开口包括实施蚀刻工艺,蚀刻工艺以比第三介电层大至少50%的选择性蚀刻第二介电层。在实施例中,第二介电层与第一金属线垂直对准。在实施例中,形成第二介电层和第三介电层包括[1]处理第一电介质;[2]在第一金属线上选择性地生长第二介电层;[3]在选择性生长之后,沉积第二材料以在处理的第一电介质上形成第三介电层。处理第一电介质抑制了第一电介质上方的第二介电层的生长。在又一实施例中,平坦化第二材料和第二介电层。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
导线,设置在衬底上方;
第一介电层,设置在所述衬底上方并且与所述导线共面;
第二介电层和第三介电层,所述第二介电层设置在所述导线上方,所述第三介电层设置在所述第一介电层上方,其中,所述第二介电层和所述第三介电层共面,并且所述第二介电层和所述第三介电层具有不同的组分;以及
通孔,延伸穿过所述第二介电层并且连接至所述导线。
2.根据权利要求1所述的半导体器件,其中,所述通孔的第一侧壁与所述第二介电层交界,并且所述通孔的第二侧壁与所述第三介电层交界。
3.根据权利要求2所述的半导体器件,其中,所述通孔的底面与所述导线交界,并且所述通孔的顶面与另一导线交界。
4.根据权利要求1所述的半导体器件,其中,所述第二介电层是氮化物,并且所述第三介电层是氧化物。
5.根据权利要求1所述的半导体器件,其中,所述第一介电层和所述第三介电层具有相同的组分。
6.根据权利要求1所述的半导体器件,其中,所述第二介电层是氮化硅。
7.一种制造半导体器件的方法,包括:
在设置在衬底上方的第一介电层中形成导电部件;
在所述导电部件上形成第二介电层,并且在所述第一介电层上方形成第三介电层,其中,所述第二介电层和所述第三介电层具有不同的组分;
在图案化的第二介电层中蚀刻通孔开口,暴露所述导电部件;以及
用导电材料填充所述通孔开口。
8.根据权利要求7所述的方法,其中,形成所述第二介电层包括在所述导电部件上选择性生长材料。
9.根据权利要求7所述的方法,其中,形成所述第二介电层包括具有硅和氮的第一组分,并且形成所述第三介电层包括具有硅和氧的第二组分。
10.一种制造半导体器件的方法,包括:
形成多层互连(MLI)结构的第一层,其中,所述第一层包括第一金属线和第一电介质;
在所述第一金属线上方形成第二介电层,并且在所述第一电介质上方形成第三介电层;
在所述第二介电层中选择性地蚀刻开口以暴露所述第一金属线;
在所述开口中形成导电通孔;以及
形成所述多层互连结构的第二层,所述第二层包括第二金属线,并且其中,所述导电通孔互连所述第二金属线和所述第一金属线。
CN201711290392.XA 2017-08-31 2017-12-08 半导体器件的互连结构及其制造方法 Active CN109427655B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/692,439 US10515896B2 (en) 2017-08-31 2017-08-31 Interconnect structure for semiconductor device and methods of fabrication thereof
US15/692,439 2017-08-31

Publications (2)

Publication Number Publication Date
CN109427655A true CN109427655A (zh) 2019-03-05
CN109427655B CN109427655B (zh) 2020-12-29

Family

ID=65320813

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711290392.XA Active CN109427655B (zh) 2017-08-31 2017-12-08 半导体器件的互连结构及其制造方法

Country Status (5)

Country Link
US (3) US10515896B2 (zh)
KR (1) KR102055811B1 (zh)
CN (1) CN109427655B (zh)
DE (1) DE102017124072B4 (zh)
TW (1) TWI686880B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021033063A1 (en) * 2019-08-16 2021-02-25 International Business Machines Corporation Interconnect structure having fully aligned vias
CN112687659A (zh) * 2019-10-18 2021-04-20 台湾积体电路制造股份有限公司 半导体结构和用于形成半导体结构的方法
CN113284874A (zh) * 2020-02-19 2021-08-20 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11916010B2 (en) 2020-05-21 2024-02-27 Intel Corporation Back end of line integration for self-aligned vias
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
US20220293517A1 (en) * 2021-03-10 2022-09-15 Intel Corporation Stacked vias with bottom portions formed using selective growth

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150091181A1 (en) * 2013-09-30 2015-04-02 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry
CN106653681A (zh) * 2015-10-30 2017-05-10 台湾积体电路制造股份有限公司 自对准互连结构和方法
CN107004633A (zh) * 2014-12-22 2017-08-01 英特尔公司 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构

Family Cites Families (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981550A (en) * 1987-09-25 1991-01-01 At&T Bell Laboratories Semiconductor device having tungsten plugs
US4968641A (en) * 1989-06-22 1990-11-06 Alexander Kalnitsky Method for formation of an isolating oxide layer
JP2687758B2 (ja) * 1991-05-27 1997-12-08 日本電気株式会社 半導体装置の製造方法
EP0751567B1 (en) * 1995-06-27 2007-11-28 International Business Machines Corporation Copper alloys for chip interconnections and method of making
JP2785768B2 (ja) * 1995-09-14 1998-08-13 日本電気株式会社 半導体装置の製造方法
US5707894A (en) * 1995-10-27 1998-01-13 United Microelectronics Corporation Bonding pad structure and method thereof
US7041548B1 (en) * 1996-07-16 2006-05-09 Micron Technology, Inc. Methods of forming a gate stack that is void of silicon clusters within a metallic silicide film thereof
US5888897A (en) 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
KR100226742B1 (ko) * 1996-12-24 1999-10-15 구본준 반도체 소자의 금속배선 형성 방법
US5880030A (en) * 1997-11-25 1999-03-09 Intel Corporation Unlanded via structure and method for making same
JP3114864B2 (ja) * 1998-04-16 2000-12-04 日本電気株式会社 半導体基板における微細コンタクトおよびその形成方法
KR100285700B1 (ko) * 1998-07-10 2001-04-02 윤종용 반도체장치의콘택형성방법및그구조
US20030089987A1 (en) * 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
US6352916B1 (en) * 1999-11-02 2002-03-05 Micron Technology, Inc. Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US6531410B2 (en) 2001-02-27 2003-03-11 International Business Machines Corporation Intrinsic dual gate oxide MOSFET using a damascene gate process
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
EP1393389B1 (en) * 2001-05-23 2018-12-05 Flexenable Limited Laser patterning of devices
KR100385960B1 (ko) * 2001-06-16 2003-06-02 삼성전자주식회사 자기 정렬된 금속 콘택 플러그를 구비하는 반도체 소자 및그 제조 방법
DE10143997B4 (de) * 2001-09-07 2006-12-14 Infineon Technologies Ag Verfahren zur Herstellung einer integrierten Halbleiterschaltung mit einem Isolationsgraben
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
KR100467020B1 (ko) * 2002-07-26 2005-01-24 삼성전자주식회사 자기 정렬된 접합영역 콘택홀을 갖는 반도체 장치 및 그제조 방법
US20040061197A1 (en) * 2002-09-30 2004-04-01 Intel Corporation Method and apparatus to fabricate an on-chip decoupling capacitor
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6825561B1 (en) * 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6797611B1 (en) * 2003-08-03 2004-09-28 Nanya Technology Corp. Method of fabricating contact holes on a semiconductor chip
US7378738B2 (en) * 2003-09-02 2008-05-27 International Business Machines Corporation Method for producing self-aligned mask, articles produced by same and composition for same
US7109089B2 (en) * 2004-02-27 2006-09-19 Micron Technology, Inc. Semiconductor fabrication using a collar
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
GB0410921D0 (en) * 2004-05-14 2004-06-16 Plastic Logic Ltd Self-aligned active layer island
KR100596489B1 (ko) * 2004-06-28 2006-07-03 삼성전자주식회사 금속배선을 갖는 반도체 장치 및 이의 제조방법
US7176119B2 (en) * 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
EP1648152A1 (en) 2004-10-14 2006-04-19 Thomson Multimedia Broadband Belgium Integrated access device, associated modem box and splitting module
JP2006120904A (ja) * 2004-10-22 2006-05-11 Elpida Memory Inc 半導体装置及びその製造方法
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
JP2006294979A (ja) * 2005-04-13 2006-10-26 Toshiba Corp 半導体装置およびその製造方法
US7157333B1 (en) * 2005-07-11 2007-01-02 Macronix International Co., Ltd. Non-volatile memory and fabricating method thereof
GB0516401D0 (en) * 2005-08-09 2005-09-14 Univ Cambridge Tech Nanorod field-effect transistors
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
US7863663B2 (en) * 2006-04-07 2011-01-04 Micron Technology, Inc. Hybrid electrical contact
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US7956465B2 (en) * 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
US20070264747A1 (en) * 2006-05-15 2007-11-15 Kuo-Hsi Yen Patterning process and method of manufacturing organic thin film transistor using the same
WO2007143623A2 (en) * 2006-06-02 2007-12-13 Stalford Harold L Methods and systems for micro machines
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications
US9385034B2 (en) * 2007-04-11 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Carbonization of metal caps
US7863196B2 (en) * 2007-05-10 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
US8446012B2 (en) * 2007-05-11 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures
US8952547B2 (en) * 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
TWI365551B (en) * 2007-12-14 2012-06-01 Ind Tech Res Inst Method of fabricating a electrical device
JP2009158591A (ja) * 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
US20090176368A1 (en) * 2008-01-08 2009-07-09 Nan Wu Manufacturing method for an integrated circuit structure comprising a selectively deposited oxide layer
US8198188B1 (en) * 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8039964B2 (en) * 2008-02-27 2011-10-18 International Business Machines Corporation Fluorine depleted adhesion layer for metal interconnect structure
US8269208B2 (en) * 2008-03-07 2012-09-18 Ovonyx, Inc. Memory device
US7993816B2 (en) * 2008-03-17 2011-08-09 International Business Machines Corporation Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
JP4836092B2 (ja) * 2008-03-19 2011-12-14 国立大学法人東北大学 半導体装置の形成方法
WO2009120343A1 (en) * 2008-03-24 2009-10-01 The Board Of Trustees Of The Leland Stanford Junior University Selective oxidative removal of a self-assembled monolayer for controlled nanofabrication
DE102008026211B4 (de) * 2008-05-30 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
CN101952954A (zh) * 2008-06-10 2011-01-19 松下电器产业株式会社 半导体装置、半导体装置的制造方法、半导体芯片和系统
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8357921B2 (en) * 2008-08-14 2013-01-22 Nantero Inc. Integrated three-dimensional semiconductor system comprising nonvolatile nanotube field effect transistors
DE102008045035B4 (de) * 2008-08-29 2017-11-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verbessern der Strukturintegrität von Dielektrika mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen unter Anwendung einer Risse verhindernden Materialschicht
DE102008049775B4 (de) * 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US8101456B2 (en) * 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
US8344348B2 (en) * 2008-10-02 2013-01-01 Ovonyx, Inc. Memory device
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
JP4757360B2 (ja) * 2009-02-02 2011-08-24 パナソニック株式会社 不揮発性記憶素子、不揮発性記憶装置、不揮発性半導体装置、および不揮発性記憶素子の製造方法
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
TWI382530B (zh) 2009-04-03 2013-01-11 Acer Inc A method and device for utilizing thin film transistor as nonvolatile memory
JP5395542B2 (ja) * 2009-07-13 2014-01-22 株式会社東芝 半導体装置
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
US9791623B2 (en) * 2009-11-30 2017-10-17 Board Of Regents, The University Of Texas System Multilevel leaky-mode resonant optical devices
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
US8415252B2 (en) * 2010-01-07 2013-04-09 International Business Machines Corporation Selective copper encapsulation layer deposition
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US8809183B2 (en) * 2010-09-21 2014-08-19 International Business Machines Corporation Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
JP5731904B2 (ja) * 2011-05-25 2015-06-10 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8614144B2 (en) * 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
KR101728288B1 (ko) * 2011-12-30 2017-04-18 인텔 코포레이션 자기-폐쇄 비대칭 상호연결 구조
US8629559B2 (en) * 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20130221524A1 (en) * 2012-02-29 2013-08-29 Globalfoundries Inc. Integrated circuits with improved interconnect reliability using an insulating monolayer and methods for fabricating same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8803321B2 (en) * 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US8786018B2 (en) * 2012-09-11 2014-07-22 International Business Machines Corporation Self-aligned carbon nanostructure field effect transistors using selective dielectric deposition
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9030013B2 (en) * 2012-09-21 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures comprising flexible buffer layers
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9190144B2 (en) * 2012-10-12 2015-11-17 Micron Technology, Inc. Memory device architecture
JP2014082279A (ja) * 2012-10-15 2014-05-08 Panasonic Corp 不揮発性記憶装置及びその製造方法
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
US9076847B2 (en) * 2013-01-18 2015-07-07 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US8889491B2 (en) * 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US9059170B2 (en) * 2013-02-06 2015-06-16 International Business Machines Corporation Electronic fuse having a damaged region
US9343356B2 (en) * 2013-02-20 2016-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Back end of the line (BEOL) interconnect scheme
US9627256B2 (en) * 2013-02-27 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
US8900989B2 (en) * 2013-03-06 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an air gap using a damascene process and structure of same
US9373501B2 (en) * 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
US9353479B2 (en) 2013-05-02 2016-05-31 Mark Regan Baluha Conveyor product containment device
US9142505B2 (en) * 2013-06-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for back end of line semiconductor device processing
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9324709B2 (en) * 2013-08-19 2016-04-26 Globalfoundries Inc. Self-aligned gate contact structure
US9105636B2 (en) * 2013-08-26 2015-08-11 Micron Technology, Inc. Semiconductor constructions and methods of forming electrically conductive contacts
US9576892B2 (en) * 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
WO2015047345A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
EP3050087B1 (en) * 2013-09-27 2020-04-29 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
CN105493249B (zh) * 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9377502B2 (en) * 2013-12-19 2016-06-28 Infineon Technologies Ag Testing of semiconductor devices and devices, and designs thereof
AU2013273843A1 (en) 2013-12-23 2015-07-09 Canon Kabushiki Kaisha Motion blur compensation for depth from defocus
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9236437B2 (en) * 2014-02-20 2016-01-12 Globalfoundries Inc. Method for creating self-aligned transistor contacts
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
KR102247918B1 (ko) * 2014-04-07 2021-05-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105140172B (zh) * 2014-05-27 2019-01-25 中芯国际集成电路制造(北京)有限公司 互连结构及其形成方法
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US9975759B2 (en) * 2014-06-30 2018-05-22 Mcube, Inc. Method and structure of MEMS PLCSP fabrication
US9349691B2 (en) * 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
JP6393937B2 (ja) * 2014-09-05 2018-09-26 Dic株式会社 薄膜トランジスタの製造方法、薄膜トランジスタ及びトランジスタアレイ
KR102259939B1 (ko) * 2014-09-16 2021-06-02 삼성전자주식회사 절연체 표면 개질용 조성물, 절연체의 표면 개질 방법, 절연체, 및 박막 트랜지스터
US9419097B2 (en) * 2014-11-24 2016-08-16 International Business Machines Corporation Replacement metal gate dielectric cap
US9536842B2 (en) * 2014-12-18 2017-01-03 GlobalFoundries, Inc. Structure with air gap crack stop
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10553532B2 (en) * 2014-12-24 2020-02-04 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US9583438B2 (en) * 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
US11239154B2 (en) * 2015-01-20 2022-02-01 Taiwan Semiconductor Manufacturing Company Ltd. Fishbone structure enhancing spacing with adjacent conductive line in power network
US9520321B2 (en) * 2015-02-27 2016-12-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with self-aligned vias
US9595492B2 (en) * 2015-03-16 2017-03-14 Taiwan Semiconductor Manufacturing Company Ltd. Device manufacture and packaging method thereof
KR102582523B1 (ko) * 2015-03-19 2023-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 전자 기기
WO2016164733A1 (en) * 2015-04-08 2016-10-13 The Board Of Trustees Of The University Of Illinois System and methods of concentrating airborne particles
KR102423220B1 (ko) 2015-06-26 2022-07-20 인텔 코포레이션 감산적으로 패터닝된 자기 정렬된 상호접속부들, 플러그들, 및 비아들을 위한 텍스타일 패터닝
US9685368B2 (en) * 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9653356B2 (en) * 2015-08-10 2017-05-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
US9761523B2 (en) * 2015-08-21 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure with twin boundaries and method for forming the same
WO2017044106A1 (en) * 2015-09-10 2017-03-16 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (beol) interconnects
US10256141B2 (en) * 2015-09-23 2019-04-09 Intel Corporation Maskless air gap to prevent via punch through
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US9373543B1 (en) * 2015-10-06 2016-06-21 Globalfoundries Inc. Forming interconnect features with reduced sidewall tapering
US9659864B2 (en) * 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US10211148B2 (en) * 2015-12-14 2019-02-19 International Business Machines Corporation Structural enhancement of Cu nanowires
WO2017111926A1 (en) 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9530691B1 (en) 2016-02-19 2016-12-27 Globalfoundries Inc. Methods, apparatus and system for forming a dielectric field for dual orientation self aligned vias
WO2017151639A1 (en) * 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10847415B2 (en) * 2016-03-15 2020-11-24 Imec Vzw Self-aligned gate contact
US10002789B2 (en) * 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US9748169B1 (en) * 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US20170301620A1 (en) * 2016-04-15 2017-10-19 International Business Machines Corporation Structure and process for metal cap integration
US9589807B1 (en) * 2016-05-25 2017-03-07 Globalfoundries Inc. Method for eliminating interlayer dielectric dishing and controlling gate height uniformity
US10378105B2 (en) * 2016-05-31 2019-08-13 Tokyo Electron Limited Selective deposition with surface treatment
US10580644B2 (en) * 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US9875966B1 (en) * 2016-08-01 2018-01-23 International Business Machines Corporation Method and structure of forming low resistance interconnects
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US10049974B2 (en) * 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US11158515B2 (en) * 2016-09-30 2021-10-26 Intel Corporation Selective metal removal for conductive interconnects in integrated circuitry
US10312142B2 (en) * 2016-11-28 2019-06-04 Northrop Grumman Systems Corporation Method of forming superconductor structures
US10283406B2 (en) * 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10424507B2 (en) * 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
WO2018194899A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Low temperature selective epitaxial silicon deposition
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TW202401527A (zh) * 2017-06-14 2024-01-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
TW201906035A (zh) * 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10181421B1 (en) * 2017-07-12 2019-01-15 Globalfoundries Inc. Liner recess for fully aligned via
TW202011547A (zh) * 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10804199B2 (en) * 2018-09-25 2020-10-13 Globalfoundries Inc. Self-aligned chamferless interconnect structures of semiconductor devices
US10886462B2 (en) * 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
US10790372B2 (en) * 2019-01-10 2020-09-29 International Business Machines Corporation Direct gate metal cut using selective deposition to protect the gate end line from metal shorts
KR20200108242A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10777411B1 (en) * 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150091181A1 (en) * 2013-09-30 2015-04-02 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
CN107004633A (zh) * 2014-12-22 2017-08-01 英特尔公司 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry
CN106653681A (zh) * 2015-10-30 2017-05-10 台湾积体电路制造股份有限公司 自对准互连结构和方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021033063A1 (en) * 2019-08-16 2021-02-25 International Business Machines Corporation Interconnect structure having fully aligned vias
US10978343B2 (en) 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
GB2600667A (en) * 2019-08-16 2022-05-04 Ibm Interconnect structure having fully aligned vias
GB2600667B (en) * 2019-08-16 2023-11-29 Ibm Interconnect structure having fully aligned vias
CN112687659A (zh) * 2019-10-18 2021-04-20 台湾积体电路制造股份有限公司 半导体结构和用于形成半导体结构的方法
CN112687659B (zh) * 2019-10-18 2024-06-11 台湾积体电路制造股份有限公司 半导体结构和用于形成半导体结构的方法
CN113284874A (zh) * 2020-02-19 2021-08-20 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same

Also Published As

Publication number Publication date
US10777504B2 (en) 2020-09-15
US20190067194A1 (en) 2019-02-28
KR20190024521A (ko) 2019-03-08
CN109427655B (zh) 2020-12-29
US11610841B2 (en) 2023-03-21
US20200161240A1 (en) 2020-05-21
KR102055811B1 (ko) 2019-12-13
DE102017124072B4 (de) 2023-08-24
US20190067197A1 (en) 2019-02-28
TW201913837A (zh) 2019-04-01
TWI686880B (zh) 2020-03-01
US10515896B2 (en) 2019-12-24
DE102017124072A1 (de) 2019-02-28

Similar Documents

Publication Publication Date Title
US20240079266A1 (en) Air gap spacer formation for nano-scale semiconductor devices
CN109427655A (zh) 半导体器件的互连结构及其制造方法
CN103050530B (zh) FinFET器件及其制造方法
CN105023908B (zh) 复合接触插塞结构及其制造方法
US20210313174A1 (en) Interconnect System with Improved Low-K Dielectrics
CN107546203A (zh) 半导体器件及其制造方法
TWI801824B (zh) 半導體元件及其形成方法
CN107046001A (zh) 半导体器件及其形成方法
US11823960B2 (en) Method for forming semiconductor structure with high aspect ratio
CN105374794A (zh) 互连结构及其形成方法
CN103972213A (zh) 具有多级互连的半导体器件及其形成方法
KR20220106932A (ko) 높은 브레이크다운 전압 에칭 정지 층
TWI749986B (zh) 半導體元件及其形成方法
TW202221762A (zh) 半導體裝置以及其形成方法
CN105261637A (zh) 具有作为功函数层和/或阻挡/润湿层的TiAlCN的金属栅极堆叠件
US9576847B2 (en) Method for forming integrated circuit structure with thinned contact
TWI832210B (zh) 半導體結構及其形成方法
US12020980B2 (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant